Skip to content
Unstable

Console Output

Started by timer
Running as SYSTEM
Building remotely on build2-deb11build-ansible (ttcn3 obs osmocom-gerrit coverity osmocom-master) in workspace /home/osmocom-build/jenkins/workspace/ttcn3-bts-test
The recommended git tool is: NONE
No credentials specified
Wiping out workspace first.
Cloning the remote Git repository
Cloning repository https://gerrit.osmocom.org/docker-playground
 > git init /home/osmocom-build/jenkins/workspace/ttcn3-bts-test # timeout=10
Fetching upstream changes from https://gerrit.osmocom.org/docker-playground
 > git --version # timeout=10
 > git --version # 'git version 2.30.2'
 > git fetch --tags --force --progress -- https://gerrit.osmocom.org/docker-playground +refs/heads/*:refs/remotes/origin/* # timeout=10
 > git config remote.origin.url https://gerrit.osmocom.org/docker-playground # timeout=10
 > git config --add remote.origin.fetch +refs/heads/*:refs/remotes/origin/* # timeout=10
Avoid second fetch
Seen branch in repository origin/arehbein/devtests
Seen branch in repository origin/arehbein/devtests%topic=fixes
Seen branch in repository origin/daniel/bscnat_tests
Seen branch in repository origin/daniel/training
Seen branch in repository origin/daniel/wip
Seen branch in repository origin/fixeria/confmerge
Seen branch in repository origin/fixeria/sccplite
Seen branch in repository origin/fixeria/testing
Seen branch in repository origin/jolly/testing
Seen branch in repository origin/laforge/ergw
Seen branch in repository origin/laforge/fr
Seen branch in repository origin/laforge/ns
Seen branch in repository origin/laforge/podman
Seen branch in repository origin/lynxis/gerrit-comment-ci
Seen branch in repository origin/master
Seen branch in repository origin/neels/hnbgw-pfcp
Seen branch in repository origin/neels/wip
Seen branch in repository origin/osmith/fix-registry-pull
Seen branch in repository origin/osmith/fix-rpi-gnutls
Seen branch in repository origin/osmith/obs-2021q1
Seen branch in repository origin/osmith/rpm-local
Seen branch in repository origin/osmith/ttcn3-pass-args
Seen branch in repository origin/osmith/wip
Seen branch in repository origin/osmith/wip-4g-only
Seen branch in repository origin/osmith/wip-asan
Seen branch in repository origin/pespin/bts-perf
Seen branch in repository origin/pespin/ergw
Seen branch in repository origin/pespin/gtp1
Seen branch in repository origin/pespin/master
Seen branch in repository origin/pmaier/pcuif
Seen branch in repository origin/refsf/for/master/dyn-pdch
Seen 31 remote branches
 > git show-ref --tags -d # timeout=10
Checking out Revision dd829a475dfda0cf6c0c07af8eb2358b8e9bd10d (origin/master)
 > git config core.sparsecheckout # timeout=10
 > git checkout -f dd829a475dfda0cf6c0c07af8eb2358b8e9bd10d # timeout=10
Commit message: "osmo-gsm-tester: use debian-bookworm-build"
 > git rev-list --no-walk dd829a475dfda0cf6c0c07af8eb2358b8e9bd10d # timeout=10
[ttcn3-bts-test] $ /bin/sh -xe /tmp/jenkins4052292860085158166.sh + export REGISTRY_HOST=registry.osmocom.org + DIR=ttcn3-bts-test + export IMAGE_SUFFIX=master + cd ttcn3-bts-test + ./jenkins.sh + [ x = x ] + REPO_USER=osmocom-build + [ x/home/osmocom-build/jenkins/workspace/ttcn3-bts-test = x ] + VOL_BASE_DIR=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs + rm -rf /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs + mkdir -p /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs + [ ! -d /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs ] + [ xjenkins-ttcn3-bts-test-2556 = x ] + basename /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/ttcn3-bts-test + SUITE_NAME=ttcn3-bts-test + IMAGE_SUFFIX=master + docker_images_require osmo-bsc-master osmo-bts-master osmocom-bb-host-master ttcn3-bts-test + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmo-bsc-master + local feed + echo debian-bookworm-build + depends=debian-bookworm-build + [ -n debian-bookworm-build ] + docker_images_require debian-bookworm-build + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends debian-bookworm-build + local feed + depends= + [ -n ] + docker_distro_from_image_name debian-bookworm-build + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name debian-bookworm-build + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name debian-bookworm-build + echo debian-bookworm-build + dir=debian-bookworm-build + pull_arg=--pull + grep ^FROM ../debian-bookworm-build/Dockerfile + from_line=FROM ${REGISTRY}/${UPSTREAM_DISTRO} + echo FROM ${REGISTRY}/${UPSTREAM_DISTRO} + grep -q $USER + set +x Building image: debian-bookworm-build (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../debian-bookworm-build BUILD_ARGS=--pull UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/debian-bookworm-build OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/debian-bookworm-build' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/20.7.0 \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ --pull -t osmocom-build/debian-bookworm-build:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 5.76kB done #1 DONE 0.1s #2 [auth] sharing credentials for registry.osmocom.org #2 DONE 0.0s #3 [internal] load metadata for registry.osmocom.org/debian:bookworm #3 DONE 0.1s #4 [internal] load .dockerignore #4 transferring context: 2B done #4 DONE 0.0s #5 [internal] load build context #5 DONE 0.0s #6 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12//Release #6 DONE 0.0s #7 https://gitea.osmocom.org/sim-card/pysim/raw/branch/master/requirements.txt #7 DONE 0.0s #8 [ 1/16] FROM registry.osmocom.org/debian:bookworm@sha256:bfee693abf500131d9c2aea2e9780a4797dc3641644bac1660b5eb9e1f1e3306 #8 resolve registry.osmocom.org/debian:bookworm@sha256:bfee693abf500131d9c2aea2e9780a4797dc3641644bac1660b5eb9e1f1e3306 #8 resolve registry.osmocom.org/debian:bookworm@sha256:bfee693abf500131d9c2aea2e9780a4797dc3641644bac1660b5eb9e1f1e3306 0.2s done #8 DONE 0.2s #9 https://gerrit.osmocom.org/plugins/gitiles/osmo-ci/+/master?format=TEXT #9 DONE 0.3s #5 [internal] load build context #5 transferring context: 1.96kB done #5 DONE 0.3s #10 https://gerrit.osmocom.org/plugins/gitiles/python/osmo-python-tests/+/master?format=TEXT #10 DONE 0.4s #11 https://gerrit.osmocom.org/plugins/gitiles/osmo-gsm-manuals/+/master?format=TEXT #11 DONE 0.5s #12 [13/16] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-GSM-MANUALS/+/MASTER?FORMAT=TEXT /tmp/osmo-gsm-manuals-commit #12 CACHED #13 [15/16] ADD HTTPS://DOWNLOADS.OSMOCOM.ORG/PACKAGES/OSMOCOM:/NIGHTLY/DEBIAN_12//RELEASE /tmp/Release #13 CACHED #14 [ 7/16] ADD HTTPS://GITEA.OSMOCOM.ORG/SIM-CARD/PYSIM/RAW/BRANCH/MASTER/REQUIREMENTS.TXT /tmp/pysim_requirements.txt #14 CACHED #15 [ 8/16] RUN SET -x && cat /tmp/pysim_requirements.txt && pip3 install --break-system-packages 'git+https://github.com/eriwen/lcov-to-cobertura-xml.git' 'git+https://github.com/osmocom/sphinx-argparse@inside-classes#egg=sphinx-argparse' 'git+https://github.com/podshumok/python-smpplib.git' 'pydbus' 'pysispm' 'sphinx' 'sphinxcontrib-napoleon' -r /tmp/pysim_requirements.txt #15 CACHED #16 [ 5/16] RUN IF [ "$(arch)" != "x86_64" ]; then echo "ERROR: use debian-bookworm-build-arm instead"; exit 1; fi && set -x && apt-get update && apt-get install -y --no-install-recommends ca-certificates libtinfo5 wget && apt-get clean && wget https://github.com/ARM-software/LLVM-embedded-toolchain-for-Arm/releases/download/release-14.0.0/LLVMEmbeddedToolchainForArm-14.0.0-linux.tar.gz && tar -xf LLVMEmbeddedToolchainForArm-14.0.0-linux.tar.gz && rm LLVMEmbeddedToolchainForArm-14.0.0-linux.tar.gz && mv LLVMEmbeddedToolchainForArm-14.0.0 /opt/llvm-arm && /opt/llvm-arm/bin/clang --version && /opt/llvm-arm/bin/clang --print-targets #16 CACHED #17 [ 4/16] RUN SET -x && useradd --uid=1000 -d /build -m build && chown -R build:build /usr/local && echo "path-exclude=/usr/share/man/*" > /etc/dpkg/dpkg.cfg.d/exclude-man-pages && rm -rf /usr/share/man/ #17 CACHED #18 [ 3/16] COPY .COMMON/RELEASE.KEY /etc/apt/trusted.gpg.d/obs.osmocom.org.asc #18 CACHED #19 [ 9/16] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/PYTHON/OSMO-PYTHON-TESTS/+/MASTER?FORMAT=TEXT /tmp/osmo-python-tests-commit #19 CACHED #20 [10/16] RUN SET -x && git clone --depth=1 https://gerrit.osmocom.org/python/osmo-python-tests osmo-python-tests && cd osmo-python-tests && python3 setup.py clean build install && cd .. && rm -rf osmo-python-tests #20 CACHED #21 [12/16] RUN set -x && git clone --depth=1 https://gerrit.osmocom.org/osmo-ci osmo-ci && su build -c "cd osmo-ci/scripts && cp -v *.sh *.py /usr/local/bin" && rm -rf osmo-ci #21 CACHED #22 [11/16] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-CI/+/MASTER?FORMAT=TEXT /tmp/osmo-ci-commit #22 CACHED #23 [14/16] RUN GIT -C /opt clone --depth=1 https://gerrit.osmocom.org/osmo-gsm-manuals #23 CACHED #24 [ 6/16] RUN SET -x && apt-get update && apt-get install -y --no-install-recommends asciidoc asciidoc-dblatex autoconf autoconf-archive autogen automake bc bison build-essential bzip2 ca-certificates ccache cmake coccinelle cppcheck curl dahdi-source dblatex dbus debhelper devscripts dh-autoreconf docbook5-xml doxygen equivs flex g++ gawk gcc gcc-arm-none-eabi ghostscript git gnupg graphviz htop iproute2 latexmk lcov libaio-dev libasound2-dev libbladerf-dev libboost-all-dev libc-ares-dev libcdk5-dev libcsv-dev libdbd-sqlite3 libdbi-dev libelf-dev libffi-dev libfftw3-dev libgmp-dev libgnutls28-dev libgps-dev libgsm1-dev libjansson-dev liblua5.3-dev libmnl-dev libncurses5-dev libnewlib-arm-none-eabi libnftables-dev libnftnl-dev libnl-3-dev libnl-route-3-dev liboping-dev libortp-dev libpcap-dev libpcsclite-dev libreadline-dev librsvg2-bin libsctp-dev libsigsegv-dev libsnmp-dev libsofia-sip-ua-glib-dev libsqlite3-dev libssl-dev libtalloc-dev libtinfo5 libtool liburing-dev libusb-1.0-0-dev libusb-dev libxml2-utils libzmq3-dev locales lua-socket make mscgen ofono openssh-client patchelf picolibc-arm-none-eabi pkg-config pylint python3 python3-gi python3-mako python3-nwdiag python3-pip python3-pyflakes python3-setuptools python3-usb python3-yaml rsync sdcc source-highlight sqlite3 stow sudo swig systemd tcpdump telnet tex-gyre texinfo unzip virtualenv xsltproc && apt-get clean #24 CACHED #25 [ 2/16] COPY .COMMON/RESPAWN.SH /usr/local/bin/respawn.sh #25 CACHED #26 [16/16] RUN SET -x && echo "deb [signed-by=/etc/apt/trusted.gpg.d/obs.osmocom.org.asc] https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12/ ./" > /etc/apt/sources.list.d/osmocom-nightly.list && apt-get update && apt-get install -y --no-install-recommends liblimesuite-dev libuhd-dev libulfius-dev && apt-get clean #26 CACHED #27 exporting to image #27 exporting layers done #27 writing image sha256:d46bdb8f2b1b502bf0047929eeeca0d2f5417b7862a1d864d670a529ac42a440 0.0s done #27 naming to docker.io/osmocom-build/debian-bookworm-build:latest 0.0s done #27 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/debian-bookworm-build' + docker_image_exists debian-bookworm-build + docker images -q osmocom-build/debian-bookworm-build + test -n d46bdb8f2b1b + list_osmo_packages debian-bookworm debian-bookworm-build + local distro=debian-bookworm + local image=debian-bookworm-build + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/debian-bookworm-build -c + [ -n ] + return + docker_distro_from_image_name osmo-bsc-master + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmo-bsc-master + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmo-bsc-master + echo osmo-bsc-master + dir=osmo-bsc-master + pull_arg=--pull + grep ^FROM ../osmo-bsc-master/Dockerfile + from_line=FROM $USER/$DISTRO-build + echo FROM $USER/$DISTRO-build + grep -q $USER + pull_arg= + set +x Building image: osmo-bsc-master (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmo-bsc-master BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmo-bsc-master OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/osmo-bsc-master' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/20.7.0 \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ -t osmocom-build/osmo-bsc-master:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.40kB done #1 DONE 0.0s #2 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-build:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [internal] load build context #4 DONE 0.0s #5 [1/8] FROM docker.io/osmocom-build/debian-bookworm-build:latest #5 CACHED #6 [2/8] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends libosmocore-dev libosmo-abis-dev libosmo-netif-dev libosmo-sigtran-dev libosmo-mgcp-client-dev libgtp-dev && apt-get clean ;; centos*) dnf install -y "pkgconfig(libosmo-mgcp-client)" "pkgconfig(libosmo-netif)" "pkgconfig(libosmo-sigtran)" "pkgconfig(libosmoabis)" "pkgconfig(libosmocore)" "pkgconfig(libosmoctrl)" "pkgconfig(libosmogb)" "pkgconfig(libosmogsm)" "pkgconfig(libosmovty)" ;; esac #6 ... #7 https://gerrit.osmocom.org/plugins/gitiles/osmo-bsc/+/master?format=TEXT #7 DONE 0.2s #7 https://gerrit.osmocom.org/plugins/gitiles/osmo-bsc/+/master?format=TEXT #7 CACHED #4 [internal] load build context #4 transferring context: 4.21kB done #4 DONE 0.1s #6 [2/8] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends libosmocore-dev libosmo-abis-dev libosmo-netif-dev libosmo-sigtran-dev libosmo-mgcp-client-dev libgtp-dev && apt-get clean ;; centos*) dnf install -y "pkgconfig(libosmo-mgcp-client)" "pkgconfig(libosmo-netif)" "pkgconfig(libosmo-sigtran)" "pkgconfig(libosmoabis)" "pkgconfig(libosmocore)" "pkgconfig(libosmoctrl)" "pkgconfig(libosmogb)" "pkgconfig(libosmogsm)" "pkgconfig(libosmovty)" ;; esac #6 0.609 Hit:1 http://deb.debian.org/debian bookworm InRelease #6 0.610 Hit:2 http://deb.debian.org/debian bookworm-updates InRelease #6 0.610 Hit:3 http://deb.debian.org/debian-security bookworm-security InRelease #6 0.610 Hit:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ InRelease #6 0.962 Reading package lists... #6 1.362 Reading package lists... #6 1.763 Building dependency tree... #6 1.859 Reading state information... #6 1.946 The following additional packages will be installed: #6 1.946 libgtp10 libosmo-mgcp-client14 libosmo-sigtran10 libosmoabis13 libosmocodec4 #6 1.946 libosmocoding0 libosmocore libosmocore22 libosmoctrl0 libosmogb14 #6 1.946 libosmogsm20 libosmoisdn0 libosmonetif11 libosmosim2 libosmotrau10 #6 1.946 libosmousb0 libosmovty13 osmocom-nightly #6 1.976 The following NEW packages will be installed: #6 1.976 libgtp-dev libgtp10 libosmo-abis-dev libosmo-mgcp-client-dev #6 1.976 libosmo-mgcp-client14 libosmo-netif-dev libosmo-sigtran-dev #6 1.976 libosmo-sigtran10 libosmoabis13 libosmocodec4 libosmocoding0 libosmocore #6 1.976 libosmocore-dev libosmocore22 libosmoctrl0 libosmogb14 libosmogsm20 #6 1.976 libosmoisdn0 libosmonetif11 libosmosim2 libosmotrau10 libosmousb0 #6 1.977 libosmovty13 osmocom-nightly #6 2.041 0 upgraded, 24 newly installed, 0 to remove and 2 not upgraded. #6 2.041 Need to get 3288 kB of archives. #6 2.041 After this operation, 12.6 MB of additional disk space will be used. #6 2.041 Get:1 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ osmocom-nightly 202410192026 [1176 B] #6 2.043 Get:2 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore22 1.10.0.18.abbf.202410192026 [168 kB] #6 2.047 Get:3 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libgtp10 1.12.0.6.8c01.202410192026 [38.9 kB] #6 2.067 Get:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libgtp-dev 1.12.0.6.8c01.202410192026 [140 kB] #6 2.069 Get:5 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocodec4 1.10.0.18.abbf.202410192026 [50.6 kB] #6 2.071 Get:6 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmotrau10 1.6.0.21.7306.202410192026 [32.9 kB] #6 2.073 Get:7 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoisdn0 1.10.0.18.abbf.202410192026 [69.7 kB] #6 2.075 Get:8 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogsm20 1.10.0.18.abbf.202410192026 [227 kB] #6 2.078 Get:9 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmovty13 1.10.0.18.abbf.202410192026 [103 kB] #6 2.080 Get:10 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoabis13 1.6.0.21.7306.202410192026 [73.3 kB] #6 2.082 Get:11 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-abis-dev 1.6.0.21.7306.202410192026 [117 kB] #6 2.085 Get:12 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocoding0 1.10.0.18.abbf.202410192026 [70.3 kB] #6 2.087 Get:13 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogb14 1.10.0.18.abbf.202410192026 [177 kB] #6 2.089 Get:14 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoctrl0 1.10.0.18.abbf.202410192026 [58.8 kB] #6 2.091 Get:15 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmosim2 1.10.0.18.abbf.202410192026 [62.9 kB] #6 2.093 Get:16 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmousb0 1.10.0.18.abbf.202410192026 [49.6 kB] #6 2.095 Get:17 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore 1.10.0.18.abbf.202410192026 [42.9 kB] #6 2.096 Get:18 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore-dev 1.10.0.18.abbf.202410192026 [846 kB] #6 2.106 Get:19 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmonetif11 1.5.1.5.89a1.202410192026 [54.0 kB] #6 2.106 Get:20 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-netif-dev 1.5.1.5.89a1.202410192026 [66.1 kB] #6 2.107 Get:21 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-sigtran10 2.0.0.17.24bf.202410192026 [126 kB] #6 2.108 Get:22 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-sigtran-dev 2.0.0.17.24bf.202410192026 [589 kB] #6 2.113 Get:23 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-mgcp-client14 1.13.1.202410192026 [57.8 kB] #6 2.113 Get:24 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-mgcp-client-dev 1.13.1.202410192026 [66.5 kB] #6 2.298 debconf: delaying package configuration, since apt-utils is not installed #6 2.366 Fetched 3288 kB in 0s (25.5 MB/s) #6 2.444 Selecting previously unselected package osmocom-nightly. #6 2.444 (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 117416 files and directories currently installed.) #6 2.504 Preparing to unpack .../00-osmocom-nightly_202410192026_amd64.deb ... #6 2.555 Unpacking osmocom-nightly (202410192026) ... #6 2.810 Selecting previously unselected package libosmocore22:amd64. #6 2.820 Preparing to unpack .../01-libosmocore22_1.10.0.18.abbf.202410192026_amd64.deb ... #6 2.885 Unpacking libosmocore22:amd64 (1.10.0.18.abbf.202410192026) ... #6 3.071 Selecting previously unselected package libgtp10:amd64. #6 3.082 Preparing to unpack .../02-libgtp10_1.12.0.6.8c01.202410192026_amd64.deb ... #6 3.104 Unpacking libgtp10:amd64 (1.12.0.6.8c01.202410192026) ... #6 3.278 Selecting previously unselected package libgtp-dev:amd64. #6 3.289 Preparing to unpack .../03-libgtp-dev_1.12.0.6.8c01.202410192026_amd64.deb ... #6 3.311 Unpacking libgtp-dev:amd64 (1.12.0.6.8c01.202410192026) ... #6 3.503 Selecting previously unselected package libosmocodec4:amd64. #6 3.513 Preparing to unpack .../04-libosmocodec4_1.10.0.18.abbf.202410192026_amd64.deb ... #6 3.538 Unpacking libosmocodec4:amd64 (1.10.0.18.abbf.202410192026) ... #6 3.716 Selecting previously unselected package libosmotrau10:amd64. #6 3.727 Preparing to unpack .../05-libosmotrau10_1.6.0.21.7306.202410192026_amd64.deb ... #6 3.755 Unpacking libosmotrau10:amd64 (1.6.0.21.7306.202410192026) ... #6 3.930 Selecting previously unselected package libosmoisdn0:amd64. #6 3.940 Preparing to unpack .../06-libosmoisdn0_1.10.0.18.abbf.202410192026_amd64.deb ... #6 3.960 Unpacking libosmoisdn0:amd64 (1.10.0.18.abbf.202410192026) ... #6 4.154 Selecting previously unselected package libosmogsm20:amd64. #6 4.165 Preparing to unpack .../07-libosmogsm20_1.10.0.18.abbf.202410192026_amd64.deb ... #6 4.191 Unpacking libosmogsm20:amd64 (1.10.0.18.abbf.202410192026) ... #6 4.384 Selecting previously unselected package libosmovty13:amd64. #6 4.395 Preparing to unpack .../08-libosmovty13_1.10.0.18.abbf.202410192026_amd64.deb ... #6 4.419 Unpacking libosmovty13:amd64 (1.10.0.18.abbf.202410192026) ... #6 4.596 Selecting previously unselected package libosmoabis13:amd64. #6 4.605 Preparing to unpack .../09-libosmoabis13_1.6.0.21.7306.202410192026_amd64.deb ... #6 4.627 Unpacking libosmoabis13:amd64 (1.6.0.21.7306.202410192026) ... #6 4.788 Selecting previously unselected package libosmo-abis-dev:amd64. #6 4.797 Preparing to unpack .../10-libosmo-abis-dev_1.6.0.21.7306.202410192026_amd64.deb ... #6 4.828 Unpacking libosmo-abis-dev:amd64 (1.6.0.21.7306.202410192026) ... #6 5.019 Selecting previously unselected package libosmocoding0:amd64. #6 5.030 Preparing to unpack .../11-libosmocoding0_1.10.0.18.abbf.202410192026_amd64.deb ... #6 5.061 Unpacking libosmocoding0:amd64 (1.10.0.18.abbf.202410192026) ... #6 5.255 Selecting previously unselected package libosmogb14:amd64. #6 5.265 Preparing to unpack .../12-libosmogb14_1.10.0.18.abbf.202410192026_amd64.deb ... #6 5.298 Unpacking libosmogb14:amd64 (1.10.0.18.abbf.202410192026) ... #6 5.489 Selecting previously unselected package libosmoctrl0:amd64. #6 5.500 Preparing to unpack .../13-libosmoctrl0_1.10.0.18.abbf.202410192026_amd64.deb ... #6 5.526 Unpacking libosmoctrl0:amd64 (1.10.0.18.abbf.202410192026) ... #6 5.709 Selecting previously unselected package libosmosim2:amd64. #6 5.718 Preparing to unpack .../14-libosmosim2_1.10.0.18.abbf.202410192026_amd64.deb ... #6 5.744 Unpacking libosmosim2:amd64 (1.10.0.18.abbf.202410192026) ... #6 5.934 Selecting previously unselected package libosmousb0:amd64. #6 5.943 Preparing to unpack .../15-libosmousb0_1.10.0.18.abbf.202410192026_amd64.deb ... #6 5.968 Unpacking libosmousb0:amd64 (1.10.0.18.abbf.202410192026) ... #6 6.118 Selecting previously unselected package libosmocore. #6 6.129 Preparing to unpack .../16-libosmocore_1.10.0.18.abbf.202410192026_amd64.deb ... #6 6.155 Unpacking libosmocore (1.10.0.18.abbf.202410192026) ... #6 6.311 Selecting previously unselected package libosmocore-dev:amd64. #6 6.322 Preparing to unpack .../17-libosmocore-dev_1.10.0.18.abbf.202410192026_amd64.deb ... #6 6.343 Unpacking libosmocore-dev:amd64 (1.10.0.18.abbf.202410192026) ... #6 6.563 Selecting previously unselected package libosmonetif11:amd64. #6 6.573 Preparing to unpack .../18-libosmonetif11_1.5.1.5.89a1.202410192026_amd64.deb ... #6 6.598 Unpacking libosmonetif11:amd64 (1.5.1.5.89a1.202410192026) ... #6 6.761 Selecting previously unselected package libosmo-netif-dev:amd64. #6 6.771 Preparing to unpack .../19-libosmo-netif-dev_1.5.1.5.89a1.202410192026_amd64.deb ... #6 6.796 Unpacking libosmo-netif-dev:amd64 (1.5.1.5.89a1.202410192026) ... #6 6.922 Selecting previously unselected package libosmo-sigtran10:amd64. #6 6.932 Preparing to unpack .../20-libosmo-sigtran10_2.0.0.17.24bf.202410192026_amd64.deb ... #6 6.952 Unpacking libosmo-sigtran10:amd64 (2.0.0.17.24bf.202410192026) ... #6 7.080 Selecting previously unselected package libosmo-sigtran-dev:amd64. #6 7.090 Preparing to unpack .../21-libosmo-sigtran-dev_2.0.0.17.24bf.202410192026_amd64.deb ... #6 7.106 Unpacking libosmo-sigtran-dev:amd64 (2.0.0.17.24bf.202410192026) ... #6 7.267 Selecting previously unselected package libosmo-mgcp-client14:amd64. #6 7.278 Preparing to unpack .../22-libosmo-mgcp-client14_1.13.1.202410192026_amd64.deb ... #6 7.294 Unpacking libosmo-mgcp-client14:amd64 (1.13.1.202410192026) ... #6 7.399 Selecting previously unselected package libosmo-mgcp-client-dev:amd64. #6 7.409 Preparing to unpack .../23-libosmo-mgcp-client-dev_1.13.1.202410192026_amd64.deb ... #6 7.424 Unpacking libosmo-mgcp-client-dev:amd64 (1.13.1.202410192026) ... #6 7.576 Setting up osmocom-nightly (202410192026) ... #6 7.654 Setting up libosmocore22:amd64 (1.10.0.18.abbf.202410192026) ... #6 7.704 Setting up libosmocodec4:amd64 (1.10.0.18.abbf.202410192026) ... #6 7.749 Setting up libosmovty13:amd64 (1.10.0.18.abbf.202410192026) ... #6 7.798 Setting up libosmoisdn0:amd64 (1.10.0.18.abbf.202410192026) ... #6 7.843 Setting up libosmotrau10:amd64 (1.6.0.21.7306.202410192026) ... #6 7.913 Setting up libgtp10:amd64 (1.12.0.6.8c01.202410192026) ... #6 7.957 Setting up libgtp-dev:amd64 (1.12.0.6.8c01.202410192026) ... #6 8.000 Setting up libosmousb0:amd64 (1.10.0.18.abbf.202410192026) ... #6 8.046 Setting up libosmo-mgcp-client14:amd64 (1.13.1.202410192026) ... #6 8.090 Setting up libosmogsm20:amd64 (1.10.0.18.abbf.202410192026) ... #6 8.134 Setting up libosmoabis13:amd64 (1.6.0.21.7306.202410192026) ... #6 8.175 Setting up libosmoctrl0:amd64 (1.10.0.18.abbf.202410192026) ... #6 8.219 Setting up libosmogb14:amd64 (1.10.0.18.abbf.202410192026) ... #6 8.269 Setting up libosmonetif11:amd64 (1.5.1.5.89a1.202410192026) ... #6 8.334 Setting up libosmo-abis-dev:amd64 (1.6.0.21.7306.202410192026) ... #6 8.380 Setting up libosmo-mgcp-client-dev:amd64 (1.13.1.202410192026) ... #6 8.427 Setting up libosmocoding0:amd64 (1.10.0.18.abbf.202410192026) ... #6 8.485 Setting up libosmosim2:amd64 (1.10.0.18.abbf.202410192026) ... #6 8.543 Setting up libosmocore (1.10.0.18.abbf.202410192026) ... #6 8.588 Setting up libosmo-sigtran10:amd64 (2.0.0.17.24bf.202410192026) ... #6 8.632 Setting up libosmocore-dev:amd64 (1.10.0.18.abbf.202410192026) ... #6 8.677 Setting up libosmo-netif-dev:amd64 (1.5.1.5.89a1.202410192026) ... #6 8.724 Setting up libosmo-sigtran-dev:amd64 (2.0.0.17.24bf.202410192026) ... #6 8.769 Processing triggers for libc-bin (2.36-9+deb12u8) ... #6 DONE 9.2s #8 [3/8] WORKDIR /TMP #8 DONE 0.2s #9 [4/8] RUN GIT clone https://gerrit.osmocom.org/osmo-bsc.git #9 0.449 Cloning into 'osmo-bsc'... #9 DONE 2.0s #10 [5/8] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-BSC/+/MASTER?FORMAT=TEXT /tmp/commit-osmo-bsc #10 DONE 0.2s #11 [6/8] RUN CD osmo-bsc && git fetch && git checkout master && (git symbolic-ref -q HEAD && git reset --hard origin/master || exit 1); git rev-parse --abbrev-ref HEAD && git rev-parse HEAD && autoreconf -fi && ./configure && make "-j$(nproc)" install #11 0.467 Already on 'master' #11 0.467 Your branch is up to date with 'origin/master'. #11 0.469 refs/heads/master #11 0.510 HEAD is now at 56fa819a9 contrib/jenkins: libosmo-sccp -> libosmo-sigtran #11 0.512 master #11 0.514 56fa819a99019272b2f76631f4404a964276663f #11 3.149 libtoolize: putting auxiliary files in AC_CONFIG_AUX_DIR, '.'. #11 3.149 libtoolize: copying file './ltmain.sh' #11 3.241 libtoolize: putting macros in 'm4'. #11 3.241 libtoolize: copying file 'm4/libtool.m4' #11 3.270 libtoolize: copying file 'm4/ltoptions.m4' #11 3.303 libtoolize: copying file 'm4/ltsugar.m4' #11 3.332 libtoolize: copying file 'm4/ltversion.m4' #11 3.361 libtoolize: copying file 'm4/lt~obsolete.m4' #11 3.420 libtoolize: Consider adding 'AC_CONFIG_MACRO_DIRS([m4])' to configure.ac, #11 3.420 libtoolize: and rerunning libtoolize and aclocal. #11 4.703 configure.ac:74: warning: The macro `AC_HEADER_STDC' is obsolete. #11 4.703 configure.ac:74: You should run autoupdate. #11 4.703 ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from... #11 4.703 configure.ac:74: the top level #11 4.703 configure.ac:130: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.703 configure.ac:130: You should run autoupdate. #11 4.703 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.703 configure.ac:130: the top level #11 4.703 configure.ac:150: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.703 configure.ac:150: You should run autoupdate. #11 4.703 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.703 configure.ac:150: the top level #11 4.703 configure.ac:228: warning: 'AM_CONFIG_HEADER': this macro is obsolete. #11 4.703 configure.ac:228: You should use the 'AC_CONFIG_HEADERS' macro instead. #11 4.703 ./lib/autoconf/general.m4:2434: AC_DIAGNOSE is expanded from... #11 4.703 aclocal.m4:1089: AM_CONFIG_HEADER is expanded from... #11 4.703 configure.ac:228: the top level #11 4.703 configure.ac:230: warning: AC_OUTPUT should be used without arguments. #11 4.703 configure.ac:230: You should run autoupdate. #11 5.454 configure.ac:23: installing './compile' #11 5.457 configure.ac:25: installing './config.guess' #11 5.460 configure.ac:25: installing './config.sub' #11 5.462 configure.ac:9: installing './install-sh' #11 5.465 configure.ac:9: installing './missing' #11 5.511 doc/Makefile.am:34: warning: '%'-style pattern rules are a GNU make extension #11 5.511 doc/Makefile.am:37: warning: '%'-style pattern rules are a GNU make extension #11 5.600 src/ipaccess/Makefile.am: installing './depcomp' #11 5.972 checking for a BSD-compatible install... /usr/bin/install -c #11 5.981 checking whether build environment is sane... yes #11 5.995 checking for a race-free mkdir -p... /usr/bin/mkdir -p #11 5.998 checking for gawk... gawk #11 5.998 checking whether make sets $(MAKE)... yes #11 6.012 checking whether make supports nested variables... yes #11 6.024 checking whether make supports nested variables... (cached) yes #11 6.024 checking whether make sets $(MAKE)... (cached) yes #11 6.027 checking for gcc... gcc #11 6.058 checking whether the C compiler works... yes #11 6.100 checking for C compiler default output file name... a.out #11 6.102 checking for suffix of executables... #11 6.139 checking whether we are cross compiling... no #11 6.189 checking for suffix of object files... o #11 6.216 checking whether the compiler supports GNU C... yes #11 6.240 checking whether gcc accepts -g... yes #11 6.259 checking for gcc option to enable C11 features... none needed #11 6.285 checking whether gcc understands -c and -o together... yes #11 6.319 checking whether make supports the include directive... yes (GNU style) #11 6.328 checking dependency style of gcc... gcc3 #11 6.367 checking build system type... x86_64-pc-linux-gnu #11 6.436 checking host system type... x86_64-pc-linux-gnu #11 6.437 checking how to print strings... printf #11 6.464 checking for a sed that does not truncate output... /usr/bin/sed #11 6.471 checking for grep that handles long lines and -e... /usr/bin/grep #11 6.474 checking for egrep... /usr/bin/grep -E #11 6.476 checking for fgrep... /usr/bin/grep -F #11 6.479 checking for ld used by gcc... /usr/bin/ld #11 6.487 checking if the linker (/usr/bin/ld) is GNU ld... yes #11 6.490 checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B #11 6.494 checking the name lister (/usr/bin/nm -B) interface... BSD nm #11 6.521 checking whether ln -s works... yes #11 6.521 checking the maximum length of command line arguments... 1572864 #11 6.530 checking how to convert x86_64-pc-linux-gnu file names to x86_64-pc-linux-gnu format... func_convert_file_noop #11 6.531 checking how to convert x86_64-pc-linux-gnu file names to toolchain format... func_convert_file_noop #11 6.531 checking for /usr/bin/ld option to reload object files... -r #11 6.531 checking for file... file #11 6.532 checking for objdump... objdump #11 6.532 checking how to recognize dependent libraries... pass_all #11 6.533 checking for dlltool... no #11 6.533 checking how to associate runtime and link libraries... printf %s\n #11 6.534 checking for ar... ar #11 6.534 checking for archiver @FILE support... @ #11 6.562 checking for strip... strip #11 6.562 checking for ranlib... ranlib #11 6.562 checking command to parse /usr/bin/nm -B output from gcc object... ok #11 6.631 checking for sysroot... no #11 6.631 checking for a working dd... /usr/bin/dd #11 6.636 checking how to truncate binary pipes... /usr/bin/dd bs=4096 count=1 #11 6.656 checking for mt... no #11 6.657 checking if : is a manifest tool... no #11 6.664 checking for stdio.h... yes #11 6.681 checking for stdlib.h... yes #11 6.701 checking for string.h... yes #11 6.723 checking for inttypes.h... yes #11 6.747 checking for stdint.h... yes #11 6.773 checking for strings.h... yes #11 6.793 checking for sys/stat.h... yes #11 6.820 checking for sys/types.h... yes #11 6.843 checking for unistd.h... yes #11 6.868 checking for dlfcn.h... yes #11 6.892 checking for objdir... .libs #11 6.969 checking if gcc supports -fno-rtti -fno-exceptions... no #11 6.999 checking for gcc option to produce PIC... -fPIC -DPIC #11 6.999 checking if gcc PIC flag -fPIC -DPIC works... yes #11 7.024 checking if gcc static flag -static works... yes #11 7.094 checking if gcc supports -c -o file.o... yes #11 7.128 checking if gcc supports -c -o file.o... (cached) yes #11 7.128 checking whether the gcc linker (/usr/bin/ld -m elf_x86_64) supports shared libraries... yes #11 7.140 checking whether -lc should be explicitly linked in... no #11 7.173 checking dynamic linker characteristics... GNU/Linux ld.so #11 7.242 checking how to hardcode library paths into programs... immediate #11 7.242 checking whether stripping libraries is possible... yes #11 7.245 checking if libtool supports shared libraries... yes #11 7.245 checking whether to build shared libraries... yes #11 7.245 checking whether to build static libraries... yes #11 7.246 checking for pkg-config... /usr/bin/pkg-config #11 7.246 checking for pkg-config... /usr/bin/pkg-config #11 7.247 checking pkg-config is at least version 0.20... yes #11 7.249 checking for libosmocore >= 1.10.0... yes #11 7.260 checking for libosmovty >= 1.10.0... yes #11 7.273 checking for libosmoctrl >= 1.10.0... yes #11 7.287 checking for libosmogsm >= 1.10.0... yes #11 7.297 checking for libosmoabis >= 1.6.0... yes #11 7.309 checking for libosmo-netif >= 1.5.0... yes #11 7.320 checking for libosmo-sigtran >= 1.9.0... yes #11 7.333 checking for libosmo-mgcp-client >= 1.13.0... yes #11 7.343 checking for egrep... (cached) /usr/bin/grep -E #11 7.343 checking if gcc supports -fvisibility=hidden... yes #11 7.361 checking whether to enable code coverage support... no #11 7.362 checking whether to enable VTY/CTRL tests... no #11 7.364 CFLAGS=" -std=gnu11" #11 7.364 CPPFLAGS="" #11 7.393 checking that generated files are newer than configure... done #11 7.394 configure: creating ./config.status #11 8.063 config.status: creating include/Makefile #11 8.087 config.status: creating include/osmocom/Makefile #11 8.111 config.status: creating include/osmocom/bsc/Makefile #11 8.135 config.status: creating src/Makefile #11 8.157 config.status: creating src/osmo-bsc/Makefile #11 8.181 config.status: creating src/ipaccess/Makefile #11 8.206 config.status: creating src/utils/Makefile #11 8.226 config.status: creating tests/Makefile #11 8.246 config.status: creating tests/atlocal #11 8.266 config.status: creating tests/abis/Makefile #11 8.288 config.status: creating tests/acc/Makefile #11 8.309 config.status: creating tests/bsc/Makefile #11 8.330 config.status: creating tests/codec_pref/Makefile #11 8.350 config.status: creating tests/gsm0408/Makefile #11 8.373 config.status: creating tests/handover/Makefile #11 8.393 config.status: creating tests/nanobts_omlattr/Makefile #11 8.417 config.status: creating tests/paging/Makefile #11 8.439 config.status: creating tests/subscr/Makefile #11 8.461 config.status: creating doc/Makefile #11 8.484 config.status: creating doc/examples/Makefile #11 8.507 config.status: creating doc/manuals/Makefile #11 8.528 config.status: creating contrib/Makefile #11 8.550 config.status: creating contrib/systemd/Makefile #11 8.571 config.status: creating Makefile #11 8.588 config.status: creating bscconfig.h #11 8.608 config.status: executing tests/atconfig commands #11 8.612 config.status: executing depfiles commands #11 9.233 config.status: executing libtool commands #11 9.297 echo 1.12.1.22-56fa > .version-t && mv .version-t .version #11 9.299 make install-recursive #11 9.304 make[1]: Entering directory '/tmp/osmo-bsc' #11 9.309 Making install in include #11 9.313 make[2]: Entering directory '/tmp/osmo-bsc/include' #11 9.318 Making install in osmocom #11 9.321 make[3]: Entering directory '/tmp/osmo-bsc/include/osmocom' #11 9.325 Making install in bsc #11 9.330 make[4]: Entering directory '/tmp/osmo-bsc/include/osmocom/bsc' #11 9.334 make[5]: Entering directory '/tmp/osmo-bsc/include/osmocom/bsc' #11 9.334 make[5]: Nothing to be done for 'install-exec-am'. #11 9.334 make[5]: Nothing to be done for 'install-data-am'. #11 9.334 make[5]: Leaving directory '/tmp/osmo-bsc/include/osmocom/bsc' #11 9.334 make[4]: Leaving directory '/tmp/osmo-bsc/include/osmocom/bsc' #11 9.337 make[4]: Entering directory '/tmp/osmo-bsc/include/osmocom' #11 9.339 make[5]: Entering directory '/tmp/osmo-bsc/include/osmocom' #11 9.339 make[5]: Nothing to be done for 'install-exec-am'. #11 9.339 make[5]: Nothing to be done for 'install-data-am'. #11 9.339 make[5]: Leaving directory '/tmp/osmo-bsc/include/osmocom' #11 9.339 make[4]: Leaving directory '/tmp/osmo-bsc/include/osmocom' #11 9.340 make[3]: Leaving directory '/tmp/osmo-bsc/include/osmocom' #11 9.341 make[3]: Entering directory '/tmp/osmo-bsc/include' #11 9.345 make[4]: Entering directory '/tmp/osmo-bsc/include' #11 9.345 make[4]: Nothing to be done for 'install-exec-am'. #11 9.345 make[4]: Nothing to be done for 'install-data-am'. #11 9.345 make[4]: Leaving directory '/tmp/osmo-bsc/include' #11 9.345 make[3]: Leaving directory '/tmp/osmo-bsc/include' #11 9.346 make[2]: Leaving directory '/tmp/osmo-bsc/include' #11 9.346 Making install in src #11 9.349 make[2]: Entering directory '/tmp/osmo-bsc/src' #11 9.354 Making install in osmo-bsc #11 9.360 make[3]: Entering directory '/tmp/osmo-bsc/src/osmo-bsc' #11 9.362 CC osmo_bsc_main.o #11 9.362 CC a_reset.lo #11 9.362 CC abis_nm_vty.lo #11 9.362 CC abis_om2000.lo #11 9.362 CC abis_nm.lo #11 9.362 CC abis_osmo.lo #11 9.363 CC abis_om2000_vty.lo #11 9.364 CC assignment_fsm.lo #11 9.364 CC abis_rsl.lo #11 9.364 CC acc.lo #11 9.365 CC bsc_ctrl_lookup.lo #11 9.365 CC bsc_ctrl.lo #11 9.365 CC bsc_rf_ctrl.lo #11 9.366 CC bsc_init.lo #11 9.367 CC bsc_rll.lo #11 9.368 CC bsc_sccp.lo #11 9.548 CC bsc_stats.lo #11 9.626 CC bsc_subscr_conn_fsm.lo #11 9.633 CC bsc_subscriber.lo #11 9.636 CC bsc_vty.lo #11 9.637 CC bts.lo #11 9.639 CC bts_trx.lo #11 9.668 CC bts_trx_ctrl.lo #11 9.676 CC bts_trx_ts_ctrl.lo #11 9.718 CC bts_trx_ts_lchan_ctrl.lo #11 9.734 CC bts_ericsson_rbs2000.lo #11 9.736 CC bts_init.lo #11 9.762 CC bts_ipaccess_nanobts.lo #11 9.827 CC bts_ipaccess_nanobts_omlattr.lo #11 9.951 CC bts_nokia_site.lo #11 9.965 CC bts_siemens_bs11.lo #11 9.966 CC bts_sm.lo #11 9.975 CC bts_osmobts.lo #11 9.992 CC bts_unknown.lo #11 9.994 CC bts_ctrl.lo #11 10.01 CC bts_setup_ramp.lo #11 10.06 CC bts_vty.lo #11 10.08 CC bts_trx_vty.lo #11 10.15 CC chan_alloc.lo #11 10.21 CC chan_counts.lo #11 10.23 CC codec_pref.lo #11 10.24 CC data_rate_pref.lo #11 10.25 CC e1_config.lo #11 10.26 CC gsm_04_08_rr.lo #11 10.27 CC gsm_data.lo #11 10.29 CC handover_cfg.lo #11 10.30 CC handover_ctrl.lo #11 10.36 CC handover_decision.lo #11 10.43 CC handover_decision_2.lo #11 10.47 CC handover_fsm.lo #11 10.48 CC handover_logic.lo #11 10.54 CC handover_vty.lo #11 10.55 CC lb.lo #11 10.55 CC vgcs_fsm.lo #11 10.56 CC lchan.lo #11 10.56 CC lchan_fsm.lo #11 10.61 CC lchan_rtp_fsm.lo #11 10.68 CC lchan_select.lo #11 10.69 CC lcs_loc_req.lo #11 10.71 CC lcs_ta_req.lo #11 10.77 lchan_rtp_fsm.c: In function 'mgcp_pick_codec': #11 10.77 lchan_rtp_fsm.c:925:17: warning: 'codecs_len' is deprecated: use ptmap[] and ptmap_len instead [-Wdeprecated-declarations] #11 10.77 925 | verb_info->codecs_len = 0; #11 10.77 | ^~~~~~~~~ #11 10.77 In file included from /usr/include/osmocom/mgcp_client/mgcp_client_endpoint_fsm.h:4, #11 10.77 from lchan_rtp_fsm.c:25: #11 10.77 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:35:22: note: declared here #11 10.77 35 | unsigned int codecs_len #11 10.77 | ^~~~~~~~~~ #11 10.77 lchan_rtp_fsm.c:929:9: warning: 'codecs' is deprecated: use ptmap[i].codec instead [-Wdeprecated-declarations] #11 10.77 929 | verb_info->codecs[0] = codec; #11 10.77 | ^~~~~~~~~ #11 10.77 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:33:26: note: declared here #11 10.77 33 | enum mgcp_codecs codecs[MGCP_MAX_CODECS] #11 10.77 | ^~~~~~ #11 10.77 lchan_rtp_fsm.c:930:9: warning: 'codecs_len' is deprecated: use ptmap[] and ptmap_len instead [-Wdeprecated-declarations] #11 10.77 930 | verb_info->codecs_len = 1; #11 10.77 | ^~~~~~~~~ #11 10.77 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:35:22: note: declared here #11 10.77 35 | unsigned int codecs_len #11 10.77 | ^~~~~~~~~~ #11 10.77 lchan_rtp_fsm.c:941:9: warning: 'codecs' is deprecated: use ptmap[i].codec instead [-Wdeprecated-declarations] #11 10.77 941 | if (verb_info->codecs[0] == CODEC_AMR_8000_1) { #11 10.77 | ^~ #11 10.77 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:33:26: note: declared here #11 10.77 33 | enum mgcp_codecs codecs[MGCP_MAX_CODECS] #11 10.77 | ^~~~~~ #11 10.77 lchan_rtp_fsm.c:946:9: warning: 'codecs' is deprecated: use ptmap[i].codec instead [-Wdeprecated-declarations] #11 10.77 946 | if (bss_side && verb_info->codecs[0] == CODEC_AMR_8000_1) { #11 10.77 | ^~ #11 10.77 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:33:26: note: declared here #11 10.77 33 | enum mgcp_codecs codecs[MGCP_MAX_CODECS] #11 10.77 | ^~~~~~ #11 10.77 lchan_rtp_fsm.c:954:9: warning: 'codecs' is deprecated: use ptmap[i].codec instead [-Wdeprecated-declarations] #11 10.77 954 | else if (!bss_side && verb_info->codecs[0] == CODEC_AMR_8000_1) { #11 10.77 | ^~~~ #11 10.77 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:33:26: note: declared here #11 10.77 33 | enum mgcp_codecs codecs[MGCP_MAX_CODECS] #11 10.77 | ^~~~~~ #11 10.78 lchan_rtp_fsm.c: In function 'mgcp_codec_is_picked': #11 10.78 lchan_rtp_fsm.c:961:9: warning: 'codecs' is deprecated: use ptmap[i].codec instead [-Wdeprecated-declarations] #11 10.78 961 | return verb_info->codecs[0] == codec; #11 10.78 | ^~~~~~ #11 10.78 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:33:26: note: declared here #11 10.78 33 | enum mgcp_codecs codecs[MGCP_MAX_CODECS] #11 10.78 | ^~~~~~ #11 10.85 CC meas_feed.lo #11 10.86 CC meas_rep.lo #11 10.90 CC neighbor_ident.lo #11 10.91 CC neighbor_ident_vty.lo #11 10.97 CC neighbor_ident_ctrl.lo #11 10.99 CC net_init.lo #11 11.05 CC nm_common_fsm.lo #11 11.07 CC nm_bb_transc_fsm.lo #11 11.08 neighbor_ident.c: In function 'neighbor_controlif_setup': #11 11.08 neighbor_ident.c:494:9: warning: 'ctrl_interface_setup_dynip2' is deprecated: For internal use inside libosmocore only. [-Wdeprecated-declarations] #11 11.08 494 | return ctrl_interface_setup_dynip2(net, net->neigh_ctrl.addr, net->neigh_ctrl.port, #11 11.08 | ^~~~~~ #11 11.08 In file included from neighbor_ident.c:37: #11 11.08 /usr/include/osmocom/ctrl/control_if.h:46:21: note: declared here #11 11.08 46 | struct ctrl_handle *ctrl_interface_setup_dynip2(void *data, #11 11.08 | ^~~~~~~~~~~~~~~~~~~~~~~~~~~ #11 11.08 CC nm_bts_sm_fsm.lo #11 11.16 CC nm_bts_fsm.lo #11 11.17 CC nm_gprs_cell_fsm.lo #11 11.18 CC nm_gprs_nse_fsm.lo #11 11.19 CC nm_gprs_nsvc_fsm.lo #11 11.20 CC nm_channel_fsm.lo #11 11.20 CC nm_rcarrier_fsm.lo #11 11.25 CC gsm_08_08.lo #11 11.30 CC osmo_bsc_bssap.lo #11 11.31 CC osmo_bsc_filter.lo #11 11.32 CC osmo_bsc_grace.lo #11 11.33 CC osmo_bsc_lcls.lo #11 11.38 CC osmo_bsc_mgcp.lo #11 11.40 CC osmo_bsc_msc.lo #11 11.40 CC osmo_bsc_sigtran.lo #11 11.46 CC paging.lo #11 11.47 CC pcu_sock.lo #11 11.48 CC penalty_timers.lo #11 11.49 CC bssmap_reset.lo #11 11.50 CC system_information.lo #11 11.52 CC timeslot_fsm.lo #11 11.58 CC smscb.lo #11 11.61 CC smscb_vty.lo #11 11.63 CC cbch_scheduler.lo #11 11.65 CC cbsp_link.lo #11 11.69 CC power_control.lo #11 12.07 CCLD libbsc.la #11 13.24 CCLD osmo-bsc #11 13.89 make[4]: Entering directory '/tmp/osmo-bsc/src/osmo-bsc' #11 13.89 make[4]: Nothing to be done for 'install-data-am'. #11 13.89 /usr/bin/mkdir -p '/usr/local/bin' #11 13.89 /bin/bash ../../libtool --mode=install /usr/bin/install -c osmo-bsc '/usr/local/bin' #11 13.93 libtool: install: /usr/bin/install -c osmo-bsc /usr/local/bin/osmo-bsc #11 13.94 make[4]: Leaving directory '/tmp/osmo-bsc/src/osmo-bsc' #11 13.94 make[3]: Leaving directory '/tmp/osmo-bsc/src/osmo-bsc' #11 13.94 Making install in utils #11 13.94 make[3]: Entering directory '/tmp/osmo-bsc/src/utils' #11 13.95 CC bs11_config.o #11 13.95 CC isdnsync.o #11 13.95 CC meas_json-meas_json.o #11 14.00 CCLD isdnsync #11 14.03 CCLD meas_json #11 14.06 CCLD bs11_config #11 14.53 make[4]: Entering directory '/tmp/osmo-bsc/src/utils' #11 14.53 make[4]: Nothing to be done for 'install-data-am'. #11 14.53 /usr/bin/mkdir -p '/usr/local/bin' #11 14.54 /bin/bash ../../libtool --mode=install /usr/bin/install -c bs11_config isdnsync meas_json '/usr/local/bin' #11 14.58 libtool: install: /usr/bin/install -c bs11_config /usr/local/bin/bs11_config #11 14.59 libtool: install: /usr/bin/install -c isdnsync /usr/local/bin/isdnsync #11 14.60 libtool: install: /usr/bin/install -c meas_json /usr/local/bin/meas_json #11 14.61 make[4]: Leaving directory '/tmp/osmo-bsc/src/utils' #11 14.61 make[3]: Leaving directory '/tmp/osmo-bsc/src/utils' #11 14.61 Making install in ipaccess #11 14.62 make[3]: Entering directory '/tmp/osmo-bsc/src/ipaccess' #11 14.62 CC stubs.o #11 14.62 CC abisip-find.o #11 14.62 CC ipaccess-config.o #11 14.62 CC ipaccess-firmware.o #11 14.62 CC network_listen.o #11 14.62 CC ipaccess-proxy.o #11 14.71 CCLD abisip-find #11 14.75 CCLD ipaccess-config #11 14.76 CCLD ipaccess-proxy #11 15.21 make[4]: Entering directory '/tmp/osmo-bsc/src/ipaccess' #11 15.21 make[4]: Nothing to be done for 'install-data-am'. #11 15.22 /usr/bin/mkdir -p '/usr/local/bin' #11 15.22 /bin/bash ../../libtool --mode=install /usr/bin/install -c abisip-find ipaccess-config ipaccess-proxy '/usr/local/bin' #11 15.26 libtool: install: /usr/bin/install -c abisip-find /usr/local/bin/abisip-find #11 15.28 libtool: install: /usr/bin/install -c ipaccess-config /usr/local/bin/ipaccess-config #11 15.29 libtool: install: /usr/bin/install -c ipaccess-proxy /usr/local/bin/ipaccess-proxy #11 15.30 make[4]: Leaving directory '/tmp/osmo-bsc/src/ipaccess' #11 15.30 make[3]: Leaving directory '/tmp/osmo-bsc/src/ipaccess' #11 15.30 make[3]: Entering directory '/tmp/osmo-bsc/src' #11 15.31 make[4]: Entering directory '/tmp/osmo-bsc/src' #11 15.31 make[4]: Nothing to be done for 'install-exec-am'. #11 15.31 make[4]: Nothing to be done for 'install-data-am'. #11 15.31 make[4]: Leaving directory '/tmp/osmo-bsc/src' #11 15.31 make[3]: Leaving directory '/tmp/osmo-bsc/src' #11 15.31 make[2]: Leaving directory '/tmp/osmo-bsc/src' #11 15.31 Making install in tests #11 15.31 make[2]: Entering directory '/tmp/osmo-bsc/tests' #11 15.32 Making install in abis #11 15.32 make[3]: Entering directory '/tmp/osmo-bsc/tests/abis' #11 15.32 make[4]: Entering directory '/tmp/osmo-bsc/tests/abis' #11 15.32 make[4]: Nothing to be done for 'install-exec-am'. #11 15.32 make[4]: Nothing to be done for 'install-data-am'. #11 15.32 make[4]: Leaving directory '/tmp/osmo-bsc/tests/abis' #11 15.32 make[3]: Leaving directory '/tmp/osmo-bsc/tests/abis' #11 15.32 Making install in acc #11 15.33 make[3]: Entering directory '/tmp/osmo-bsc/tests/acc' #11 15.33 make[4]: Entering directory '/tmp/osmo-bsc/tests/acc' #11 15.33 make[4]: Nothing to be done for 'install-exec-am'. #11 15.33 make[4]: Nothing to be done for 'install-data-am'. #11 15.33 make[4]: Leaving directory '/tmp/osmo-bsc/tests/acc' #11 15.33 make[3]: Leaving directory '/tmp/osmo-bsc/tests/acc' #11 15.33 Making install in bsc #11 15.33 make[3]: Entering directory '/tmp/osmo-bsc/tests/bsc' #11 15.34 make[4]: Entering directory '/tmp/osmo-bsc/tests/bsc' #11 15.34 make[4]: Nothing to be done for 'install-exec-am'. #11 15.34 make[4]: Nothing to be done for 'install-data-am'. #11 15.34 make[4]: Leaving directory '/tmp/osmo-bsc/tests/bsc' #11 15.34 make[3]: Leaving directory '/tmp/osmo-bsc/tests/bsc' #11 15.34 Making install in codec_pref #11 15.34 make[3]: Entering directory '/tmp/osmo-bsc/tests/codec_pref' #11 15.35 make[4]: Entering directory '/tmp/osmo-bsc/tests/codec_pref' #11 15.35 make[4]: Nothing to be done for 'install-exec-am'. #11 15.35 make[4]: Nothing to be done for 'install-data-am'. #11 15.35 make[4]: Leaving directory '/tmp/osmo-bsc/tests/codec_pref' #11 15.35 make[3]: Leaving directory '/tmp/osmo-bsc/tests/codec_pref' #11 15.35 Making install in gsm0408 #11 15.35 make[3]: Entering directory '/tmp/osmo-bsc/tests/gsm0408' #11 15.35 make[4]: Entering directory '/tmp/osmo-bsc/tests/gsm0408' #11 15.35 make[4]: Nothing to be done for 'install-exec-am'. #11 15.35 make[4]: Nothing to be done for 'install-data-am'. #11 15.35 make[4]: Leaving directory '/tmp/osmo-bsc/tests/gsm0408' #11 15.35 make[3]: Leaving directory '/tmp/osmo-bsc/tests/gsm0408' #11 15.35 Making install in handover #11 15.36 make[3]: Entering directory '/tmp/osmo-bsc/tests/handover' #11 15.36 make[4]: Entering directory '/tmp/osmo-bsc/tests/handover' #11 15.36 make[4]: Nothing to be done for 'install-exec-am'. #11 15.36 make[4]: Nothing to be done for 'install-data-am'. #11 15.36 make[4]: Leaving directory '/tmp/osmo-bsc/tests/handover' #11 15.36 make[3]: Leaving directory '/tmp/osmo-bsc/tests/handover' #11 15.36 Making install in nanobts_omlattr #11 15.36 make[3]: Entering directory '/tmp/osmo-bsc/tests/nanobts_omlattr' #11 15.37 make[4]: Entering directory '/tmp/osmo-bsc/tests/nanobts_omlattr' #11 15.37 make[4]: Nothing to be done for 'install-exec-am'. #11 15.37 make[4]: Nothing to be done for 'install-data-am'. #11 15.37 make[4]: Leaving directory '/tmp/osmo-bsc/tests/nanobts_omlattr' #11 15.37 make[3]: Leaving directory '/tmp/osmo-bsc/tests/nanobts_omlattr' #11 15.37 Making install in paging #11 15.37 make[3]: Entering directory '/tmp/osmo-bsc/tests/paging' #11 15.37 make[4]: Entering directory '/tmp/osmo-bsc/tests/paging' #11 15.37 make[4]: Nothing to be done for 'install-exec-am'. #11 15.37 make[4]: Nothing to be done for 'install-data-am'. #11 15.37 make[4]: Leaving directory '/tmp/osmo-bsc/tests/paging' #11 15.37 make[3]: Leaving directory '/tmp/osmo-bsc/tests/paging' #11 15.37 Making install in subscr #11 15.37 make[3]: Entering directory '/tmp/osmo-bsc/tests/subscr' #11 15.38 make[4]: Entering directory '/tmp/osmo-bsc/tests/subscr' #11 15.38 make[4]: Nothing to be done for 'install-exec-am'. #11 15.38 make[4]: Nothing to be done for 'install-data-am'. #11 15.38 make[4]: Leaving directory '/tmp/osmo-bsc/tests/subscr' #11 15.38 make[3]: Leaving directory '/tmp/osmo-bsc/tests/subscr' #11 15.38 make[3]: Entering directory '/tmp/osmo-bsc/tests' #11 15.39 make[4]: Entering directory '/tmp/osmo-bsc/tests' #11 15.39 make[4]: Nothing to be done for 'install-exec-am'. #11 15.39 make[4]: Nothing to be done for 'install-data-am'. #11 15.39 make[4]: Leaving directory '/tmp/osmo-bsc/tests' #11 15.39 make[3]: Leaving directory '/tmp/osmo-bsc/tests' #11 15.39 make[2]: Leaving directory '/tmp/osmo-bsc/tests' #11 15.39 Making install in doc #11 15.39 make[2]: Entering directory '/tmp/osmo-bsc/doc' #11 15.39 Making install in examples #11 15.41 make[3]: Entering directory '/tmp/osmo-bsc/doc/examples' #11 15.41 make[4]: Entering directory '/tmp/osmo-bsc/doc/examples' #11 15.41 make[4]: Nothing to be done for 'install-exec-am'. #11 15.42 /usr/bin/mkdir -p '/usr/local/etc/osmocom' #11 15.42 /usr/bin/install -c -m 644 osmo-bsc/osmo-bsc.cfg '/usr/local/etc/osmocom' #11 15.42 make install-data-hook #11 15.43 make[5]: Entering directory '/tmp/osmo-bsc/doc/examples' #11 15.43 for f in $(find . -name '*.cfg*' -o -name '*.confmerge*' | sed -e 's,^.,,'); do \ #11 15.43 j="/usr/local/share/doc/osmo-bsc/examples/$f" && \ #11 15.43 mkdir -p "$(dirname $j)" && \ #11 15.43 /usr/bin/install -c -m 644 ./$f $j; \ #11 15.43 done #11 15.49 make[5]: Leaving directory '/tmp/osmo-bsc/doc/examples' #11 15.49 make[4]: Leaving directory '/tmp/osmo-bsc/doc/examples' #11 15.49 make[3]: Leaving directory '/tmp/osmo-bsc/doc/examples' #11 15.49 Making install in manuals #11 15.49 make[3]: Entering directory '/tmp/osmo-bsc/doc/manuals' #11 15.49 make[4]: Entering directory '/tmp/osmo-bsc/doc/manuals' #11 15.49 make[4]: Nothing to be done for 'install-exec-am'. #11 15.49 make[4]: Nothing to be done for 'install-data-am'. #11 15.49 make[4]: Leaving directory '/tmp/osmo-bsc/doc/manuals' #11 15.49 make[3]: Leaving directory '/tmp/osmo-bsc/doc/manuals' #11 15.50 make[3]: Entering directory '/tmp/osmo-bsc/doc' #11 15.50 make[4]: Entering directory '/tmp/osmo-bsc/doc' #11 15.50 make[4]: Nothing to be done for 'install-exec-am'. #11 15.50 make[4]: Nothing to be done for 'install-data-am'. #11 15.50 make[4]: Leaving directory '/tmp/osmo-bsc/doc' #11 15.50 make[3]: Leaving directory '/tmp/osmo-bsc/doc' #11 15.50 make[2]: Leaving directory '/tmp/osmo-bsc/doc' #11 15.50 Making install in contrib #11 15.50 make[2]: Entering directory '/tmp/osmo-bsc/contrib' #11 15.51 Making install in systemd #11 15.51 make[3]: Entering directory '/tmp/osmo-bsc/contrib/systemd' #11 15.52 make[4]: Entering directory '/tmp/osmo-bsc/contrib/systemd' #11 15.52 make[4]: Nothing to be done for 'install-exec-am'. #11 15.52 /usr/bin/mkdir -p '/lib/systemd/system' #11 15.52 /usr/bin/install -c -m 644 osmo-bsc.service '/lib/systemd/system' #11 15.53 make[4]: Leaving directory '/tmp/osmo-bsc/contrib/systemd' #11 15.53 make[3]: Leaving directory '/tmp/osmo-bsc/contrib/systemd' #11 15.53 make[3]: Entering directory '/tmp/osmo-bsc/contrib' #11 15.53 make[4]: Entering directory '/tmp/osmo-bsc/contrib' #11 15.53 make[4]: Nothing to be done for 'install-exec-am'. #11 15.53 make[4]: Nothing to be done for 'install-data-am'. #11 15.53 make[4]: Leaving directory '/tmp/osmo-bsc/contrib' #11 15.53 make[3]: Leaving directory '/tmp/osmo-bsc/contrib' #11 15.53 make[2]: Leaving directory '/tmp/osmo-bsc/contrib' #11 15.54 make[2]: Entering directory '/tmp/osmo-bsc' #11 15.54 make[3]: Entering directory '/tmp/osmo-bsc' #11 15.54 make[3]: Nothing to be done for 'install-exec-am'. #11 15.54 make[3]: Nothing to be done for 'install-data-am'. #11 15.54 make[3]: Leaving directory '/tmp/osmo-bsc' #11 15.54 make[2]: Leaving directory '/tmp/osmo-bsc' #11 15.54 make[1]: Leaving directory '/tmp/osmo-bsc' #11 DONE 15.7s #12 [7/8] COPY OSMO-BSC.CFG /data/osmo-bsc.cfg #12 DONE 0.2s #13 [8/8] WORKDIR /DATA #13 DONE 0.2s #14 exporting to image #14 exporting layers #14 exporting layers 0.4s done #14 writing image sha256:27246d58226da0adb870d3882242fd60d4c8bcc63f13733ac8ead2667b90b4a0 done #14 naming to docker.io/osmocom-build/osmo-bsc-master:latest 0.0s done #14 DONE 0.5s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/osmo-bsc-master' + docker_image_exists osmo-bsc-master + docker images -q osmocom-build/osmo-bsc-master + test -n 27246d58226d + list_osmo_packages debian-bookworm osmo-bsc-master + local distro=debian-bookworm + local image=osmo-bsc-master + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmo-bsc-master -c + [ -n ] + set +x ### Installed Osmocom packages in: osmo-bsc-master ### ii libosmo-abis-dev:amd64 1.6.0.21.7306.202410192026 amd64 Development headers for A-bis interface ii libosmo-mgcp-client-dev:amd64 1.13.1.202410192026 amd64 libosmo-mgcp-client: Osmocom's Media Gateway Control Protocol client utilities ii libosmo-mgcp-client14:amd64 1.13.1.202410192026 amd64 libosmo-mgcp-client: Osmocom's Media Gateway Control Protocol client utilities ii libosmo-netif-dev:amd64 1.5.1.5.89a1.202410192026 amd64 Development headers for Osmocom network interface ii libosmo-sigtran-dev:amd64 2.0.0.17.24bf.202410192026 amd64 Development headers for the Osmocom SIGTRAN library ii libosmo-sigtran10:amd64 2.0.0.17.24bf.202410192026 amd64 Osmocom SIGTRAN library (SCCP, SUA, M3UA and more) ii libosmoabis13:amd64 1.6.0.21.7306.202410192026 amd64 GSM A-bis handling ii libosmocodec4:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo codec library ii libosmocoding0:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo coding library ii libosmocore 1.10.0.18.abbf.202410192026 amd64 Open Source MObile COMmunications CORE library (metapackage) ii libosmocore-dev:amd64 1.10.0.18.abbf.202410192026 amd64 Development headers for Open Source MObile COMmunications CORE library ii libosmocore22:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo Core library ii libosmoctrl0:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo control library ii libosmogb14:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo GPRS GB library ii libosmogsm20:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo GSM utility library ii libosmoisdn0:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo ISDN utility library ii libosmonetif11:amd64 1.5.1.5.89a1.202410192026 amd64 Common/shared code regarding network interface for OpenBSC ii libosmosim2:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo SIM library ii libosmotrau10:amd64 1.6.0.21.7306.202410192026 amd64 GSM trau handling ii libosmousb0:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo USB library ii libosmovty13:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo VTY library ii osmocom-nightly 202410192026 amd64 Dummy package, conflicts with ['osmocom-2022q1', 'osmocom-2022q2', 'osmocom-2023q1', 'osmocom-latest', 'osmocom-master', 'osmocom-nightly'] + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmo-bts-master + local feed + echo debian-bookworm-build + depends=debian-bookworm-build + [ -n debian-bookworm-build ] + docker_images_require debian-bookworm-build + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends debian-bookworm-build + local feed + depends= + [ -n ] + docker_distro_from_image_name debian-bookworm-build + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name debian-bookworm-build + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name debian-bookworm-build + echo debian-bookworm-build + dir=debian-bookworm-build + pull_arg=--pull + grep ^FROM ../debian-bookworm-build/Dockerfile + from_line=FROM ${REGISTRY}/${UPSTREAM_DISTRO} + echo FROM ${REGISTRY}/${UPSTREAM_DISTRO} + grep -q $USER + set +x Building image: debian-bookworm-build (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../debian-bookworm-build BUILD_ARGS=--pull UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/debian-bookworm-build OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/debian-bookworm-build' rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/common .common docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/20.7.0 \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ --pull -t osmocom-build/debian-bookworm-build:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 5.76kB done #1 DONE 0.1s #2 [auth] sharing credentials for registry.osmocom.org #2 DONE 0.0s #3 [internal] load metadata for registry.osmocom.org/debian:bookworm #3 DONE 0.1s #4 [internal] load .dockerignore #4 transferring context: 2B done #4 DONE 0.0s #5 [internal] load build context #5 DONE 0.0s #6 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12//Release #6 DONE 0.0s #7 https://gitea.osmocom.org/sim-card/pysim/raw/branch/master/requirements.txt #7 DONE 0.0s #8 [ 1/16] FROM registry.osmocom.org/debian:bookworm@sha256:bfee693abf500131d9c2aea2e9780a4797dc3641644bac1660b5eb9e1f1e3306 #8 resolve registry.osmocom.org/debian:bookworm@sha256:bfee693abf500131d9c2aea2e9780a4797dc3641644bac1660b5eb9e1f1e3306 #8 resolve registry.osmocom.org/debian:bookworm@sha256:bfee693abf500131d9c2aea2e9780a4797dc3641644bac1660b5eb9e1f1e3306 0.2s done #8 DONE 0.2s #9 https://gerrit.osmocom.org/plugins/gitiles/osmo-ci/+/master?format=TEXT #9 DONE 0.2s #10 https://gerrit.osmocom.org/plugins/gitiles/osmo-gsm-manuals/+/master?format=TEXT #10 DONE 0.4s #11 https://gerrit.osmocom.org/plugins/gitiles/python/osmo-python-tests/+/master?format=TEXT #11 DONE 0.4s #5 [internal] load build context #5 transferring context: 1.96kB done #5 DONE 0.1s #12 [ 6/16] RUN SET -x && apt-get update && apt-get install -y --no-install-recommends asciidoc asciidoc-dblatex autoconf autoconf-archive autogen automake bc bison build-essential bzip2 ca-certificates ccache cmake coccinelle cppcheck curl dahdi-source dblatex dbus debhelper devscripts dh-autoreconf docbook5-xml doxygen equivs flex g++ gawk gcc gcc-arm-none-eabi ghostscript git gnupg graphviz htop iproute2 latexmk lcov libaio-dev libasound2-dev libbladerf-dev libboost-all-dev libc-ares-dev libcdk5-dev libcsv-dev libdbd-sqlite3 libdbi-dev libelf-dev libffi-dev libfftw3-dev libgmp-dev libgnutls28-dev libgps-dev libgsm1-dev libjansson-dev liblua5.3-dev libmnl-dev libncurses5-dev libnewlib-arm-none-eabi libnftables-dev libnftnl-dev libnl-3-dev libnl-route-3-dev liboping-dev libortp-dev libpcap-dev libpcsclite-dev libreadline-dev librsvg2-bin libsctp-dev libsigsegv-dev libsnmp-dev libsofia-sip-ua-glib-dev libsqlite3-dev libssl-dev libtalloc-dev libtinfo5 libtool liburing-dev libusb-1.0-0-dev libusb-dev libxml2-utils libzmq3-dev locales lua-socket make mscgen ofono openssh-client patchelf picolibc-arm-none-eabi pkg-config pylint python3 python3-gi python3-mako python3-nwdiag python3-pip python3-pyflakes python3-setuptools python3-usb python3-yaml rsync sdcc source-highlight sqlite3 stow sudo swig systemd tcpdump telnet tex-gyre texinfo unzip virtualenv xsltproc && apt-get clean #12 CACHED #13 [13/16] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-GSM-MANUALS/+/MASTER?FORMAT=TEXT /tmp/osmo-gsm-manuals-commit #13 CACHED #14 [ 2/16] COPY .COMMON/RESPAWN.SH /usr/local/bin/respawn.sh #14 CACHED #15 [ 9/16] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/PYTHON/OSMO-PYTHON-TESTS/+/MASTER?FORMAT=TEXT /tmp/osmo-python-tests-commit #15 CACHED #16 [10/16] RUN SET -x && git clone --depth=1 https://gerrit.osmocom.org/python/osmo-python-tests osmo-python-tests && cd osmo-python-tests && python3 setup.py clean build install && cd .. && rm -rf osmo-python-tests #16 CACHED #17 [ 3/16] COPY .COMMON/RELEASE.KEY /etc/apt/trusted.gpg.d/obs.osmocom.org.asc #17 CACHED #18 [15/16] ADD HTTPS://DOWNLOADS.OSMOCOM.ORG/PACKAGES/OSMOCOM:/NIGHTLY/DEBIAN_12//RELEASE /tmp/Release #18 CACHED #19 [ 4/16] RUN SET -x && useradd --uid=1000 -d /build -m build && chown -R build:build /usr/local && echo "path-exclude=/usr/share/man/*" > /etc/dpkg/dpkg.cfg.d/exclude-man-pages && rm -rf /usr/share/man/ #19 CACHED #20 [11/16] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-CI/+/MASTER?FORMAT=TEXT /tmp/osmo-ci-commit #20 CACHED #21 [14/16] RUN GIT -C /opt clone --depth=1 https://gerrit.osmocom.org/osmo-gsm-manuals #21 CACHED #22 [ 8/16] RUN SET -x && cat /tmp/pysim_requirements.txt && pip3 install --break-system-packages 'git+https://github.com/eriwen/lcov-to-cobertura-xml.git' 'git+https://github.com/osmocom/sphinx-argparse@inside-classes#egg=sphinx-argparse' 'git+https://github.com/podshumok/python-smpplib.git' 'pydbus' 'pysispm' 'sphinx' 'sphinxcontrib-napoleon' -r /tmp/pysim_requirements.txt #22 CACHED #23 [ 5/16] RUN IF [ "$(arch)" != "x86_64" ]; then echo "ERROR: use debian-bookworm-build-arm instead"; exit 1; fi && set -x && apt-get update && apt-get install -y --no-install-recommends ca-certificates libtinfo5 wget && apt-get clean && wget https://github.com/ARM-software/LLVM-embedded-toolchain-for-Arm/releases/download/release-14.0.0/LLVMEmbeddedToolchainForArm-14.0.0-linux.tar.gz && tar -xf LLVMEmbeddedToolchainForArm-14.0.0-linux.tar.gz && rm LLVMEmbeddedToolchainForArm-14.0.0-linux.tar.gz && mv LLVMEmbeddedToolchainForArm-14.0.0 /opt/llvm-arm && /opt/llvm-arm/bin/clang --version && /opt/llvm-arm/bin/clang --print-targets #23 CACHED #24 [12/16] RUN set -x && git clone --depth=1 https://gerrit.osmocom.org/osmo-ci osmo-ci && su build -c "cd osmo-ci/scripts && cp -v *.sh *.py /usr/local/bin" && rm -rf osmo-ci #24 CACHED #25 [ 7/16] ADD HTTPS://GITEA.OSMOCOM.ORG/SIM-CARD/PYSIM/RAW/BRANCH/MASTER/REQUIREMENTS.TXT /tmp/pysim_requirements.txt #25 CACHED #26 [16/16] RUN SET -x && echo "deb [signed-by=/etc/apt/trusted.gpg.d/obs.osmocom.org.asc] https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12/ ./" > /etc/apt/sources.list.d/osmocom-nightly.list && apt-get update && apt-get install -y --no-install-recommends liblimesuite-dev libuhd-dev libulfius-dev && apt-get clean #26 CACHED #27 exporting to image #27 exporting layers done #27 writing image sha256:d46bdb8f2b1b502bf0047929eeeca0d2f5417b7862a1d864d670a529ac42a440 0.0s done #27 naming to docker.io/osmocom-build/debian-bookworm-build:latest 0.0s done #27 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/debian-bookworm-build' + docker_image_exists debian-bookworm-build + docker images -q osmocom-build/debian-bookworm-build + test -n d46bdb8f2b1b + list_osmo_packages debian-bookworm debian-bookworm-build + local distro=debian-bookworm + local image=debian-bookworm-build + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/debian-bookworm-build -c + [ -n ] + return + docker_distro_from_image_name osmo-bts-master + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmo-bts-master + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmo-bts-master + echo osmo-bts-master + dir=osmo-bts-master + pull_arg=--pull + grep ^FROM ../osmo-bts-master/Dockerfile + from_line=FROM $USER/$DISTRO-build + + echo FROM $USER/$DISTRO-build grep -q $USER + pull_arg= + set +x Building image: osmo-bts-master (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmo-bts-master BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmo-bts-master OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/osmo-bts-master' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/20.7.0 \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ -t osmocom-build/osmo-bts-master:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.49kB done #1 DONE 0.0s #2 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-build:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [internal] load build context #4 DONE 0.0s #5 [1/8] FROM docker.io/osmocom-build/debian-bookworm-build:latest #5 CACHED #6 [2/8] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends libosmocore-dev libosmo-abis-dev libosmo-netif-dev libsmpp34-dev libgtp-dev && apt-get clean ;; centos*) dnf install -y "pkgconfig(libosmoabis)" "pkgconfig(libosmocodec)" "pkgconfig(libosmocoding)" "pkgconfig(libosmocore)" "pkgconfig(libosmoctrl)" "pkgconfig(libosmogb)" "pkgconfig(libosmogsm)" "pkgconfig(libosmo-netif)" "pkgconfig(libosmotrau)" "pkgconfig(libosmovty)" ;; esac #6 ... #7 https://gerrit.osmocom.org/plugins/gitiles/osmo-bts/+/master?format=TEXT #7 DONE 0.2s #7 https://gerrit.osmocom.org/plugins/gitiles/osmo-bts/+/master?format=TEXT #7 CACHED #4 [internal] load build context #4 transferring context: 1.63kB done #4 DONE 0.0s #6 [2/8] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends libosmocore-dev libosmo-abis-dev libosmo-netif-dev libsmpp34-dev libgtp-dev && apt-get clean ;; centos*) dnf install -y "pkgconfig(libosmoabis)" "pkgconfig(libosmocodec)" "pkgconfig(libosmocoding)" "pkgconfig(libosmocore)" "pkgconfig(libosmoctrl)" "pkgconfig(libosmogb)" "pkgconfig(libosmogsm)" "pkgconfig(libosmo-netif)" "pkgconfig(libosmotrau)" "pkgconfig(libosmovty)" ;; esac #6 0.430 Hit:1 http://deb.debian.org/debian bookworm InRelease #6 0.431 Hit:2 http://deb.debian.org/debian bookworm-updates InRelease #6 0.431 Hit:3 http://deb.debian.org/debian-security bookworm-security InRelease #6 0.559 Hit:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ InRelease #6 0.766 Reading package lists... #6 1.141 Reading package lists... #6 1.507 Building dependency tree... #6 1.596 Reading state information... #6 1.679 The following additional packages will be installed: #6 1.679 libgtp10 libosmoabis13 libosmocodec4 libosmocoding0 libosmocore #6 1.679 libosmocore22 libosmoctrl0 libosmogb14 libosmogsm20 libosmoisdn0 #6 1.679 libosmonetif11 libosmosim2 libosmotrau10 libosmousb0 libosmovty13 libsmpp1 #6 1.679 osmocom-nightly #6 1.710 The following NEW packages will be installed: #6 1.710 libgtp-dev libgtp10 libosmo-abis-dev libosmo-netif-dev libosmoabis13 #6 1.710 libosmocodec4 libosmocoding0 libosmocore libosmocore-dev libosmocore22 #6 1.710 libosmoctrl0 libosmogb14 libosmogsm20 libosmoisdn0 libosmonetif11 #6 1.711 libosmosim2 libosmotrau10 libosmousb0 libosmovty13 libsmpp1 libsmpp34-dev #6 1.711 osmocom-nightly #6 1.755 0 upgraded, 22 newly installed, 0 to remove and 2 not upgraded. #6 1.755 Need to get 2663 kB of archives. #6 1.755 After this operation, 10.3 MB of additional disk space will be used. #6 1.755 Get:1 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ osmocom-nightly 202410192026 [1176 B] #6 1.765 Get:2 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore22 1.10.0.18.abbf.202410192026 [168 kB] #6 1.768 Get:3 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libgtp10 1.12.0.6.8c01.202410192026 [38.9 kB] #6 1.769 Get:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libgtp-dev 1.12.0.6.8c01.202410192026 [140 kB] #6 1.771 Get:5 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocodec4 1.10.0.18.abbf.202410192026 [50.6 kB] #6 1.772 Get:6 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmotrau10 1.6.0.21.7306.202410192026 [32.9 kB] #6 1.774 Get:7 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoisdn0 1.10.0.18.abbf.202410192026 [69.7 kB] #6 1.776 Get:8 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogsm20 1.10.0.18.abbf.202410192026 [227 kB] #6 1.779 Get:9 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmovty13 1.10.0.18.abbf.202410192026 [103 kB] #6 1.781 Get:10 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoabis13 1.6.0.21.7306.202410192026 [73.3 kB] #6 1.783 Get:11 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-abis-dev 1.6.0.21.7306.202410192026 [117 kB] #6 1.785 Get:12 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocoding0 1.10.0.18.abbf.202410192026 [70.3 kB] #6 1.787 Get:13 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogb14 1.10.0.18.abbf.202410192026 [177 kB] #6 1.790 Get:14 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoctrl0 1.10.0.18.abbf.202410192026 [58.8 kB] #6 1.792 Get:15 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmosim2 1.10.0.18.abbf.202410192026 [62.9 kB] #6 1.793 Get:16 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmousb0 1.10.0.18.abbf.202410192026 [49.6 kB] #6 1.795 Get:17 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore 1.10.0.18.abbf.202410192026 [42.9 kB] #6 1.796 Get:18 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore-dev 1.10.0.18.abbf.202410192026 [846 kB] #6 1.805 Get:19 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmonetif11 1.5.1.5.89a1.202410192026 [54.0 kB] #6 1.805 Get:20 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-netif-dev 1.5.1.5.89a1.202410192026 [66.1 kB] #6 1.806 Get:21 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libsmpp1 1.14.4.1.f1ec.202410192026 [101 kB] #6 1.807 Get:22 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libsmpp34-dev 1.14.4.1.f1ec.202410192026 [112 kB] #6 1.957 debconf: delaying package configuration, since apt-utils is not installed #6 2.009 Fetched 2663 kB in 0s (28.7 MB/s) #6 2.082 Selecting previously unselected package osmocom-nightly. #6 2.082 (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 117416 files and directories currently installed.) #6 2.134 Preparing to unpack .../00-osmocom-nightly_202410192026_amd64.deb ... #6 2.150 Unpacking osmocom-nightly (202410192026) ... #6 2.264 Selecting previously unselected package libosmocore22:amd64. #6 2.275 Preparing to unpack .../01-libosmocore22_1.10.0.18.abbf.202410192026_amd64.deb ... #6 2.305 Unpacking libosmocore22:amd64 (1.10.0.18.abbf.202410192026) ... #6 2.432 Selecting previously unselected package libgtp10:amd64. #6 2.442 Preparing to unpack .../02-libgtp10_1.12.0.6.8c01.202410192026_amd64.deb ... #6 2.457 Unpacking libgtp10:amd64 (1.12.0.6.8c01.202410192026) ... #6 2.601 Selecting previously unselected package libgtp-dev:amd64. #6 2.611 Preparing to unpack .../03-libgtp-dev_1.12.0.6.8c01.202410192026_amd64.deb ... #6 2.637 Unpacking libgtp-dev:amd64 (1.12.0.6.8c01.202410192026) ... #6 2.772 Selecting previously unselected package libosmocodec4:amd64. #6 2.782 Preparing to unpack .../04-libosmocodec4_1.10.0.18.abbf.202410192026_amd64.deb ... #6 2.797 Unpacking libosmocodec4:amd64 (1.10.0.18.abbf.202410192026) ... #6 2.915 Selecting previously unselected package libosmotrau10:amd64. #6 2.926 Preparing to unpack .../05-libosmotrau10_1.6.0.21.7306.202410192026_amd64.deb ... #6 2.942 Unpacking libosmotrau10:amd64 (1.6.0.21.7306.202410192026) ... #6 3.071 Selecting previously unselected package libosmoisdn0:amd64. #6 3.079 Preparing to unpack .../06-libosmoisdn0_1.10.0.18.abbf.202410192026_amd64.deb ... #6 3.095 Unpacking libosmoisdn0:amd64 (1.10.0.18.abbf.202410192026) ... #6 3.221 Selecting previously unselected package libosmogsm20:amd64. #6 3.231 Preparing to unpack .../07-libosmogsm20_1.10.0.18.abbf.202410192026_amd64.deb ... #6 3.247 Unpacking libosmogsm20:amd64 (1.10.0.18.abbf.202410192026) ... #6 3.382 Selecting previously unselected package libosmovty13:amd64. #6 3.391 Preparing to unpack .../08-libosmovty13_1.10.0.18.abbf.202410192026_amd64.deb ... #6 3.406 Unpacking libosmovty13:amd64 (1.10.0.18.abbf.202410192026) ... #6 3.546 Selecting previously unselected package libosmoabis13:amd64. #6 3.557 Preparing to unpack .../09-libosmoabis13_1.6.0.21.7306.202410192026_amd64.deb ... #6 3.580 Unpacking libosmoabis13:amd64 (1.6.0.21.7306.202410192026) ... #6 3.691 Selecting previously unselected package libosmo-abis-dev:amd64. #6 3.701 Preparing to unpack .../10-libosmo-abis-dev_1.6.0.21.7306.202410192026_amd64.deb ... #6 3.717 Unpacking libosmo-abis-dev:amd64 (1.6.0.21.7306.202410192026) ... #6 3.850 Selecting previously unselected package libosmocoding0:amd64. #6 3.861 Preparing to unpack .../11-libosmocoding0_1.10.0.18.abbf.202410192026_amd64.deb ... #6 3.876 Unpacking libosmocoding0:amd64 (1.10.0.18.abbf.202410192026) ... #6 4.002 Selecting previously unselected package libosmogb14:amd64. #6 4.013 Preparing to unpack .../12-libosmogb14_1.10.0.18.abbf.202410192026_amd64.deb ... #6 4.027 Unpacking libosmogb14:amd64 (1.10.0.18.abbf.202410192026) ... #6 4.165 Selecting previously unselected package libosmoctrl0:amd64. #6 4.174 Preparing to unpack .../13-libosmoctrl0_1.10.0.18.abbf.202410192026_amd64.deb ... #6 4.189 Unpacking libosmoctrl0:amd64 (1.10.0.18.abbf.202410192026) ... #6 4.311 Selecting previously unselected package libosmosim2:amd64. #6 4.321 Preparing to unpack .../14-libosmosim2_1.10.0.18.abbf.202410192026_amd64.deb ... #6 4.337 Unpacking libosmosim2:amd64 (1.10.0.18.abbf.202410192026) ... #6 4.459 Selecting previously unselected package libosmousb0:amd64. #6 4.469 Preparing to unpack .../15-libosmousb0_1.10.0.18.abbf.202410192026_amd64.deb ... #6 4.484 Unpacking libosmousb0:amd64 (1.10.0.18.abbf.202410192026) ... #6 4.589 Selecting previously unselected package libosmocore. #6 4.599 Preparing to unpack .../16-libosmocore_1.10.0.18.abbf.202410192026_amd64.deb ... #6 4.619 Unpacking libosmocore (1.10.0.18.abbf.202410192026) ... #6 4.727 Selecting previously unselected package libosmocore-dev:amd64. #6 4.738 Preparing to unpack .../17-libosmocore-dev_1.10.0.18.abbf.202410192026_amd64.deb ... #6 4.753 Unpacking libosmocore-dev:amd64 (1.10.0.18.abbf.202410192026) ... #6 4.920 Selecting previously unselected package libosmonetif11:amd64. #6 4.930 Preparing to unpack .../18-libosmonetif11_1.5.1.5.89a1.202410192026_amd64.deb ... #6 4.945 Unpacking libosmonetif11:amd64 (1.5.1.5.89a1.202410192026) ... #6 5.054 Selecting previously unselected package libosmo-netif-dev:amd64. #6 5.065 Preparing to unpack .../19-libosmo-netif-dev_1.5.1.5.89a1.202410192026_amd64.deb ... #6 5.080 Unpacking libosmo-netif-dev:amd64 (1.5.1.5.89a1.202410192026) ... #6 5.212 Selecting previously unselected package libsmpp1:amd64. #6 5.222 Preparing to unpack .../20-libsmpp1_1.14.4.1.f1ec.202410192026_amd64.deb ... #6 5.237 Unpacking libsmpp1:amd64 (1.14.4.1.f1ec.202410192026) ... #6 5.360 Selecting previously unselected package libsmpp34-dev:amd64. #6 5.371 Preparing to unpack .../21-libsmpp34-dev_1.14.4.1.f1ec.202410192026_amd64.deb ... #6 5.395 Unpacking libsmpp34-dev:amd64 (1.14.4.1.f1ec.202410192026) ... #6 5.583 Setting up osmocom-nightly (202410192026) ... #6 5.654 Setting up libsmpp1:amd64 (1.14.4.1.f1ec.202410192026) ... #6 5.700 Setting up libosmocore22:amd64 (1.10.0.18.abbf.202410192026) ... #6 5.749 Setting up libosmocodec4:amd64 (1.10.0.18.abbf.202410192026) ... #6 5.795 Setting up libsmpp34-dev:amd64 (1.14.4.1.f1ec.202410192026) ... #6 5.841 Setting up libosmovty13:amd64 (1.10.0.18.abbf.202410192026) ... #6 5.887 Setting up libosmoisdn0:amd64 (1.10.0.18.abbf.202410192026) ... #6 5.933 Setting up libosmotrau10:amd64 (1.6.0.21.7306.202410192026) ... #6 5.979 Setting up libgtp10:amd64 (1.12.0.6.8c01.202410192026) ... #6 6.027 Setting up libgtp-dev:amd64 (1.12.0.6.8c01.202410192026) ... #6 6.073 Setting up libosmousb0:amd64 (1.10.0.18.abbf.202410192026) ... #6 6.119 Setting up libosmogsm20:amd64 (1.10.0.18.abbf.202410192026) ... #6 6.164 Setting up libosmoabis13:amd64 (1.6.0.21.7306.202410192026) ... #6 6.211 Setting up libosmoctrl0:amd64 (1.10.0.18.abbf.202410192026) ... #6 6.255 Setting up libosmogb14:amd64 (1.10.0.18.abbf.202410192026) ... #6 6.302 Setting up libosmonetif11:amd64 (1.5.1.5.89a1.202410192026) ... #6 6.347 Setting up libosmo-abis-dev:amd64 (1.6.0.21.7306.202410192026) ... #6 6.394 Setting up libosmocoding0:amd64 (1.10.0.18.abbf.202410192026) ... #6 6.442 Setting up libosmosim2:amd64 (1.10.0.18.abbf.202410192026) ... #6 6.487 Setting up libosmocore (1.10.0.18.abbf.202410192026) ... #6 6.537 Setting up libosmocore-dev:amd64 (1.10.0.18.abbf.202410192026) ... #6 6.582 Setting up libosmo-netif-dev:amd64 (1.5.1.5.89a1.202410192026) ... #6 6.627 Processing triggers for libc-bin (2.36-9+deb12u8) ... #6 DONE 7.0s #8 [3/8] WORKDIR /TMP #8 DONE 0.2s #9 [4/8] RUN GIT clone https://gerrit.osmocom.org/osmo-bts.git #9 0.388 Cloning into 'osmo-bts'... #9 DONE 1.2s #10 [5/8] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-BTS/+/MASTER?FORMAT=TEXT /tmp/commit-osmo-bts #10 DONE 0.2s #11 [6/8] RUN CD osmo-bts && git fetch && git checkout master && (git symbolic-ref -q HEAD && git reset --hard origin/master || exit 1); git rev-parse --abbrev-ref HEAD && git rev-parse HEAD && autoreconf -fi && ./configure --enable-trx && make "-j$(nproc)" install #11 0.464 Already on 'master' #11 0.465 Your branch is up to date with 'origin/master'. #11 0.467 refs/heads/master #11 0.480 HEAD is now at 82d500cc vty: lchan_dump_full_vty(): print CSD mode #11 0.482 master #11 0.484 82d500ccac605d30c31a114369b377478b9f3abd #11 3.159 libtoolize: putting auxiliary files in AC_CONFIG_AUX_DIR, '.'. #11 3.159 libtoolize: copying file './ltmain.sh' #11 3.436 libtoolize: Consider adding 'AC_CONFIG_MACRO_DIRS([m4])' to configure.ac, #11 3.436 libtoolize: and rerunning libtoolize and aclocal. #11 3.436 libtoolize: Consider adding '-I m4' to ACLOCAL_AMFLAGS in Makefile.am. #11 4.549 configure.ac:40: warning: The macro `AC_HEADER_STDC' is obsolete. #11 4.549 configure.ac:40: You should run autoupdate. #11 4.549 ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from... #11 4.549 configure.ac:40: the top level #11 4.549 configure.ac:84: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.549 configure.ac:84: You should run autoupdate. #11 4.549 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.549 configure.ac:84: the top level #11 4.549 configure.ac:92: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.549 configure.ac:92: You should run autoupdate. #11 4.549 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.549 configure.ac:92: the top level #11 4.549 configure.ac:124: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.549 configure.ac:124: You should run autoupdate. #11 4.549 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.549 configure.ac:124: the top level #11 4.549 configure.ac:132: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.549 configure.ac:132: You should run autoupdate. #11 4.549 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.549 configure.ac:132: the top level #11 4.549 configure.ac:272: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.549 configure.ac:272: You should run autoupdate. #11 4.549 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.549 configure.ac:272: the top level #11 4.549 configure.ac:292: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.549 configure.ac:292: You should run autoupdate. #11 4.549 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.549 configure.ac:292: the top level #11 4.549 configure.ac:354: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.549 configure.ac:354: You should run autoupdate. #11 4.549 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.549 configure.ac:354: the top level #11 4.549 configure.ac:422: warning: 'AM_CONFIG_HEADER': this macro is obsolete. #11 4.549 configure.ac:422: You should use the 'AC_CONFIG_HEADERS' macro instead. #11 4.549 ./lib/autoconf/general.m4:2434: AC_DIAGNOSE is expanded from... #11 4.549 aclocal.m4:10177: AM_CONFIG_HEADER is expanded from... #11 4.549 configure.ac:422: the top level #11 4.549 configure.ac:424: warning: AC_OUTPUT should be used without arguments. #11 4.549 configure.ac:424: You should run autoupdate. #11 5.302 configure.ac:23: installing './compile' #11 5.305 configure.ac:25: installing './config.guess' #11 5.308 configure.ac:25: installing './config.sub' #11 5.311 configure.ac:9: installing './install-sh' #11 5.315 configure.ac:9: installing './missing' #11 5.357 contrib/ber/Makefile.am: installing './depcomp' #11 5.481 src/common/Makefile.am:86: warning: variable 'libbts_la_LDADD' is defined but no program or #11 5.481 src/common/Makefile.am:86: library has 'libbts_la' as canonical name (possible typo) #11 5.576 src/osmo-bts-sysmo/Makefile.am:44: warning: source file 'misc/sysmobts_par.c' is in a subdirectory, #11 5.576 src/osmo-bts-sysmo/Makefile.am:44: but option 'subdir-objects' is disabled #11 5.576 automake: warning: possible forward-incompatibility. #11 5.576 automake: At least one source file is in a subdirectory, but the 'subdir-objects' #11 5.576 automake: automake option hasn't been enabled. For now, the corresponding output #11 5.576 automake: object file(s) will be placed in the top-level directory. However, this #11 5.576 automake: behavior may change in a future Automake major version, with object #11 5.576 automake: files being placed in the same subdirectory as the corresponding sources. #11 5.576 automake: You are advised to start using 'subdir-objects' option throughout your #11 5.576 automake: project, to avoid future incompatibilities. #11 5.578 src/osmo-bts-sysmo/Makefile.am:73: warning: source file 'misc/sysmobts-calib.c' is in a subdirectory, #11 5.578 src/osmo-bts-sysmo/Makefile.am:73: but option 'subdir-objects' is disabled #11 5.578 src/osmo-bts-sysmo/Makefile.am:73: warning: source file 'misc/sysmobts-layer1.c' is in a subdirectory, #11 5.578 src/osmo-bts-sysmo/Makefile.am:73: but option 'subdir-objects' is disabled #11 5.579 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_mgr.c' is in a subdirectory, #11 5.579 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.579 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_misc.c' is in a subdirectory, #11 5.579 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.579 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_par.c' is in a subdirectory, #11 5.579 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.579 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_nl.c' is in a subdirectory, #11 5.579 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.580 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_mgr_2050.c' is in a subdirectory, #11 5.580 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.580 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_mgr_vty.c' is in a subdirectory, #11 5.580 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.580 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_mgr_nl.c' is in a subdirectory, #11 5.580 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.580 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_mgr_temp.c' is in a subdirectory, #11 5.580 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.580 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_mgr_calib.c' is in a subdirectory, #11 5.580 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.581 src/osmo-bts-sysmo/Makefile.am:92: warning: source file 'misc/sysmobts_util.c' is in a subdirectory, #11 5.581 src/osmo-bts-sysmo/Makefile.am:92: but option 'subdir-objects' is disabled #11 5.581 src/osmo-bts-sysmo/Makefile.am:92: warning: source file 'misc/sysmobts_par.c' is in a subdirectory, #11 5.581 src/osmo-bts-sysmo/Makefile.am:92: but option 'subdir-objects' is disabled #11 5.666 tests/agch/Makefile.am:26: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.666 tests/agch/Makefile.am:26: but option 'subdir-objects' is disabled #11 5.706 tests/cipher/Makefile.am:26: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.706 tests/cipher/Makefile.am:26: but option 'subdir-objects' is disabled #11 5.746 tests/handover/Makefile.am:24: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.746 tests/handover/Makefile.am:24: but option 'subdir-objects' is disabled #11 5.767 tests/meas/Makefile.am:25: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.767 tests/meas/Makefile.am:25: but option 'subdir-objects' is disabled #11 5.788 tests/misc/Makefile.am:24: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.788 tests/misc/Makefile.am:24: but option 'subdir-objects' is disabled #11 5.808 tests/paging/Makefile.am:26: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.808 tests/paging/Makefile.am:26: but option 'subdir-objects' is disabled #11 5.829 tests/power/Makefile.am:28: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.829 tests/power/Makefile.am:28: but option 'subdir-objects' is disabled #11 5.830 tests/power/Makefile.am:25: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.830 tests/power/Makefile.am:25: but option 'subdir-objects' is disabled #11 5.851 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/utils.c' is in a subdirectory, #11 5.851 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.851 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/l1_if.c' is in a subdirectory, #11 5.851 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.851 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/oml.c' is in a subdirectory, #11 5.851 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.851 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/l1_transp_hw.c' is in a subdirectory, #11 5.851 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.851 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/tch.c' is in a subdirectory, #11 5.851 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.851 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/calib_file.c' is in a subdirectory, #11 5.851 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.851 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/calib_fixup.c' is in a subdirectory, #11 5.851 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.851 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/misc/sysmobts_par.c' is in a subdirectory, #11 5.851 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.851 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/femtobts.c' is in a subdirectory, #11 5.851 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.851 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/eeprom.c' is in a subdirectory, #11 5.851 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.900 tests/tx_power/Makefile.am:23: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.900 tests/tx_power/Makefile.am:23: but option 'subdir-objects' is disabled #11 6.046 checking for a BSD-compatible install... /usr/bin/install -c #11 6.058 checking whether build environment is sane... yes #11 6.072 checking for a race-free mkdir -p... /usr/bin/mkdir -p #11 6.075 checking for gawk... gawk #11 6.075 checking whether make sets $(MAKE)... yes #11 6.088 checking whether make supports nested variables... yes #11 6.095 checking whether make supports nested variables... (cached) yes #11 6.095 checking whether make sets $(MAKE)... (cached) yes #11 6.098 checking for gcc... gcc #11 6.128 checking whether the C compiler works... yes #11 6.176 checking for C compiler default output file name... a.out #11 6.177 checking for suffix of executables... #11 6.217 checking whether we are cross compiling... no #11 6.262 checking for suffix of object files... o #11 6.285 checking whether the compiler supports GNU C... yes #11 6.307 checking whether gcc accepts -g... yes #11 6.328 checking for gcc option to enable C11 features... none needed #11 6.354 checking whether gcc understands -c and -o together... yes #11 6.392 checking whether make supports the include directive... yes (GNU style) #11 6.401 checking dependency style of gcc... gcc3 #11 6.452 checking build system type... x86_64-pc-linux-gnu #11 6.522 checking host system type... x86_64-pc-linux-gnu #11 6.523 checking how to print strings... printf #11 6.548 checking for a sed that does not truncate output... /usr/bin/sed #11 6.556 checking for grep that handles long lines and -e... /usr/bin/grep #11 6.559 checking for egrep... /usr/bin/grep -E #11 6.560 checking for fgrep... /usr/bin/grep -F #11 6.563 checking for ld used by gcc... /usr/bin/ld #11 6.570 checking if the linker (/usr/bin/ld) is GNU ld... yes #11 6.575 checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B #11 6.578 checking the name lister (/usr/bin/nm -B) interface... BSD nm #11 6.604 checking whether ln -s works... yes #11 6.604 checking the maximum length of command line arguments... 1572864 #11 6.614 checking how to convert x86_64-pc-linux-gnu file names to x86_64-pc-linux-gnu format... func_convert_file_noop #11 6.614 checking how to convert x86_64-pc-linux-gnu file names to toolchain format... func_convert_file_noop #11 6.614 checking for /usr/bin/ld option to reload object files... -r #11 6.614 checking for file... file #11 6.615 checking for objdump... objdump #11 6.615 checking how to recognize dependent libraries... pass_all #11 6.616 checking for dlltool... no #11 6.616 checking how to associate runtime and link libraries... printf %s\n #11 6.617 checking for ar... ar #11 6.617 checking for archiver @FILE support... @ #11 6.646 checking for strip... strip #11 6.646 checking for ranlib... ranlib #11 6.647 checking command to parse /usr/bin/nm -B output from gcc object... ok #11 6.716 checking for sysroot... no #11 6.716 checking for a working dd... /usr/bin/dd #11 6.723 checking how to truncate binary pipes... /usr/bin/dd bs=4096 count=1 #11 6.743 checking for mt... no #11 6.744 checking if : is a manifest tool... no #11 6.751 checking for stdio.h... yes #11 6.778 checking for stdlib.h... yes #11 6.802 checking for string.h... yes #11 6.830 checking for inttypes.h... yes #11 6.857 checking for stdint.h... yes #11 6.886 checking for strings.h... yes #11 6.909 checking for sys/stat.h... yes #11 6.931 checking for sys/types.h... yes #11 6.954 checking for unistd.h... yes #11 6.977 checking for dlfcn.h... yes #11 7.002 checking for objdir... .libs #11 7.068 checking if gcc supports -fno-rtti -fno-exceptions... no #11 7.097 checking for gcc option to produce PIC... -fPIC -DPIC #11 7.097 checking if gcc PIC flag -fPIC -DPIC works... yes #11 7.124 checking if gcc static flag -static works... yes #11 7.190 checking if gcc supports -c -o file.o... yes #11 7.226 checking if gcc supports -c -o file.o... (cached) yes #11 7.226 checking whether the gcc linker (/usr/bin/ld -m elf_x86_64) supports shared libraries... yes #11 7.239 checking whether -lc should be explicitly linked in... no #11 7.272 checking dynamic linker characteristics... GNU/Linux ld.so #11 7.341 checking how to hardcode library paths into programs... immediate #11 7.341 checking whether stripping libraries is possible... yes #11 7.344 checking if libtool supports shared libraries... yes #11 7.344 checking whether to build shared libraries... yes #11 7.344 checking whether to build static libraries... yes #11 7.345 checking for pkg-config... /usr/bin/pkg-config #11 7.345 checking for pkg-config... /usr/bin/pkg-config #11 7.346 checking pkg-config is at least version 0.20... yes #11 7.348 checking for egrep... (cached) /usr/bin/grep -E #11 7.348 checking for libosmocore >= 1.10.0... yes #11 7.360 checking for libosmovty >= 1.10.0... yes #11 7.370 checking for libosmogsm >= 1.10.0... yes #11 7.379 checking for libosmoctrl >= 1.10.0... yes #11 7.388 checking for libosmocodec >= 1.10.0... yes #11 7.398 checking for libosmocoding >= 1.10.0... yes #11 7.413 checking for libosmoabis >= 1.6.0... yes #11 7.425 checking for libosmotrau >= 1.6.0... yes #11 7.435 checking for libosmo-netif >= 1.5.0... yes #11 7.447 checking whether to enable support for sysmobts calibration tool... no #11 7.447 checking whether to enable support for sysmoBTS L1/PHY support... no #11 7.447 checking whether to enable support for osmo-trx based L1/PHY support... yes #11 7.448 checking whether to enable support for Octasic OCTPHY-2G... no #11 7.449 checking whether to enable NuRAN Wireless Litecell 1.5 hardware support... no #11 7.449 checking whether to enable NuRAN Wireless OC-2G hardware support... no #11 7.449 checking whether to enable VTY/CTRL tests... no #11 7.449 checking whether to include systemtap tracing support... no #11 7.451 CFLAGS=" -std=gnu11" #11 7.451 CPPFLAGS="" #11 7.479 checking that generated files are newer than configure... done #11 7.480 configure: creating ./config.status #11 8.145 config.status: creating src/Makefile #11 8.166 config.status: creating src/common/Makefile #11 8.189 config.status: creating src/osmo-bts-virtual/Makefile #11 8.210 config.status: creating src/osmo-bts-omldummy/Makefile #11 8.232 config.status: creating src/osmo-bts-sysmo/Makefile #11 8.256 config.status: creating src/osmo-bts-lc15/Makefile #11 8.277 config.status: creating src/osmo-bts-oc2g/Makefile #11 8.301 config.status: creating src/osmo-bts-trx/Makefile #11 8.324 config.status: creating src/osmo-bts-octphy/Makefile #11 8.346 config.status: creating include/Makefile #11 8.367 config.status: creating include/osmo-bts/Makefile #11 8.390 config.status: creating tests/Makefile #11 8.414 config.status: creating tests/paging/Makefile #11 8.437 config.status: creating tests/agch/Makefile #11 8.458 config.status: creating tests/cipher/Makefile #11 8.481 config.status: creating tests/sysmobts/Makefile #11 8.506 config.status: creating tests/misc/Makefile #11 8.528 config.status: creating tests/handover/Makefile #11 8.551 config.status: creating tests/ta_control/Makefile #11 8.573 config.status: creating tests/tx_power/Makefile #11 8.595 config.status: creating tests/power/Makefile #11 8.620 config.status: creating tests/meas/Makefile #11 8.643 config.status: creating tests/amr/Makefile #11 8.664 config.status: creating tests/csd/Makefile #11 8.686 config.status: creating doc/Makefile #11 8.708 config.status: creating doc/examples/Makefile #11 8.729 config.status: creating doc/manuals/Makefile #11 8.749 config.status: creating contrib/Makefile #11 8.771 config.status: creating contrib/ber/Makefile #11 8.794 config.status: creating contrib/systemd/Makefile #11 8.816 config.status: creating Makefile #11 8.834 config.status: creating btsconfig.h #11 8.853 config.status: executing tests/atconfig commands #11 8.856 config.status: executing depfiles commands #11 9.889 config.status: executing libtool commands #11 9.959 echo 1.8.0.6-82d5 > .version-t && mv .version-t .version #11 9.963 make install-recursive #11 9.968 make[1]: Entering directory '/tmp/osmo-bts' #11 9.974 Making install in include #11 9.976 make[2]: Entering directory '/tmp/osmo-bts/include' #11 9.981 Making install in osmo-bts #11 9.984 make[3]: Entering directory '/tmp/osmo-bts/include/osmo-bts' #11 9.988 make[4]: Entering directory '/tmp/osmo-bts/include/osmo-bts' #11 9.988 make[4]: Nothing to be done for 'install-exec-am'. #11 9.988 make[4]: Nothing to be done for 'install-data-am'. #11 9.988 make[4]: Leaving directory '/tmp/osmo-bts/include/osmo-bts' #11 9.988 make[3]: Leaving directory '/tmp/osmo-bts/include/osmo-bts' #11 9.991 make[3]: Entering directory '/tmp/osmo-bts/include' #11 9.994 make[4]: Entering directory '/tmp/osmo-bts/include' #11 9.994 make[4]: Nothing to be done for 'install-exec-am'. #11 9.994 make[4]: Nothing to be done for 'install-data-am'. #11 9.994 make[4]: Leaving directory '/tmp/osmo-bts/include' #11 9.994 make[3]: Leaving directory '/tmp/osmo-bts/include' #11 9.995 make[2]: Leaving directory '/tmp/osmo-bts/include' #11 9.995 Making install in src #11 9.998 make[2]: Entering directory '/tmp/osmo-bts/src' #11 10.00 Making install in common #11 10.01 make[3]: Entering directory '/tmp/osmo-bts/src/common' #11 10.01 make install-am #11 10.01 make[4]: Entering directory '/tmp/osmo-bts/src/common' #11 10.01 CC gsm_data.o #11 10.01 CC sysinfo.o #11 10.01 CC logging.o #11 10.02 CC abis.o #11 10.02 CC abis_osmo.o #11 10.02 CC oml.o #11 10.02 CC osmux.o #11 10.02 CC bts.o #11 10.02 CC bts_trx.o #11 10.02 CC bts_sm.o #11 10.02 CC rsl.o #11 10.02 CC rtp_input_preen.o #11 10.02 CC vty.o #11 10.02 CC amr.o #11 10.02 CC paging.o #11 10.02 CC measurement.o #11 10.09 CC asci.o #11 10.10 CC lchan.o #11 10.11 CC load_indication.o #11 10.11 CC pcu_sock.o #11 10.11 CC handover.o #11 10.12 CC msg_utils.o #11 10.12 CC tx_power.o #11 10.12 CC bts_ctrl_commands.o #11 10.15 CC bts_ctrl_lookup.o #11 10.16 CC bts_shutdown_fsm.o #11 10.16 CC csd_v110.o #11 10.16 CC l1sap.o #11 10.18 CC cbch.o #11 10.20 CC power_control.o #11 10.20 CC main.o #11 10.22 CC phy_link.o #11 10.22 CC dtx_dl_amr_fsm.o #11 10.22 CC scheduler_mframe.o #11 10.23 CC ta_control.o #11 10.24 CC nm_common_fsm.o #11 10.24 CC nm_bts_sm_fsm.o #11 10.24 CC nm_bts_fsm.o #11 10.27 CC nm_bb_transc_fsm.o #11 10.29 CC nm_channel_fsm.o #11 10.29 CC nm_gprs_nse_fsm.o #11 10.29 CC nm_gprs_cell_fsm.o #11 10.29 CC nm_gprs_nsvc_fsm.o #11 10.31 CC nm_radio_carrier_fsm.o #11 10.32 CC notification.o #11 10.32 CC scheduler.o #11 10.46 AR libl1sched.a #11 10.46 ar: `u' modifier ignored since `D' is the default (see `U') #11 10.47 AR libbts.a #11 10.47 ar: `u' modifier ignored since `D' is the default (see `U') #11 10.51 make[5]: Entering directory '/tmp/osmo-bts/src/common' #11 10.51 make[5]: Nothing to be done for 'install-exec-am'. #11 10.51 make[5]: Nothing to be done for 'install-data-am'. #11 10.51 make[5]: Leaving directory '/tmp/osmo-bts/src/common' #11 10.51 make[4]: Leaving directory '/tmp/osmo-bts/src/common' #11 10.51 make[3]: Leaving directory '/tmp/osmo-bts/src/common' #11 10.51 Making install in osmo-bts-virtual #11 10.51 make[3]: Entering directory '/tmp/osmo-bts/src/osmo-bts-virtual' #11 10.51 CC main.o #11 10.51 CC bts_model.o #11 10.51 CC virtualbts_vty.o #11 10.51 CC scheduler_virtbts.o #11 10.51 CC virtual_um.o #11 10.51 CC l1_if.o #11 10.51 CC osmo_mcast_sock.o #11 10.62 CCLD osmo-bts-virtual #11 11.27 make[4]: Entering directory '/tmp/osmo-bts/src/osmo-bts-virtual' #11 11.27 make[4]: Nothing to be done for 'install-data-am'. #11 11.27 /usr/bin/mkdir -p '/usr/local/bin' #11 11.28 /bin/bash ../../libtool --mode=install /usr/bin/install -c osmo-bts-virtual '/usr/local/bin' #11 11.32 libtool: install: /usr/bin/install -c osmo-bts-virtual /usr/local/bin/osmo-bts-virtual #11 11.32 make[4]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-virtual' #11 11.32 make[3]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-virtual' #11 11.32 Making install in osmo-bts-omldummy #11 11.33 make[3]: Entering directory '/tmp/osmo-bts/src/osmo-bts-omldummy' #11 11.33 CC bts_model.o #11 11.33 CC main.o #11 11.41 CCLD osmo-bts-omldummy #11 12.05 make[4]: Entering directory '/tmp/osmo-bts/src/osmo-bts-omldummy' #11 12.05 make[4]: Nothing to be done for 'install-data-am'. #11 12.05 /usr/bin/mkdir -p '/usr/local/bin' #11 12.06 /bin/bash ../../libtool --mode=install /usr/bin/install -c osmo-bts-omldummy '/usr/local/bin' #11 12.10 libtool: install: /usr/bin/install -c osmo-bts-omldummy /usr/local/bin/osmo-bts-omldummy #11 12.10 make[4]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-omldummy' #11 12.10 make[3]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-omldummy' #11 12.10 Making install in osmo-bts-trx #11 12.11 make[3]: Entering directory '/tmp/osmo-bts/src/osmo-bts-trx' #11 12.11 make install-am #11 12.11 make[4]: Entering directory '/tmp/osmo-bts/src/osmo-bts-trx' #11 12.11 CC main.o #11 12.11 CC l1_if.o #11 12.11 CC trx_if.o #11 12.11 CC scheduler_trx.o #11 12.11 CC sched_lchan_fcch_sch.o #11 12.11 CC sched_lchan_xcch.o #11 12.11 CC sched_lchan_rach.o #11 12.11 CC sched_lchan_pdtch.o #11 12.11 CC sched_lchan_tchf.o #11 12.12 CC sched_lchan_tchh.o #11 12.12 CC trx_provision_fsm.o #11 12.12 CC trx_vty.o #11 12.12 CC amr_loop.o #11 12.30 CCLD osmo-bts-trx #11 13.06 make[5]: Entering directory '/tmp/osmo-bts/src/osmo-bts-trx' #11 13.06 make[5]: Nothing to be done for 'install-data-am'. #11 13.06 /usr/bin/mkdir -p '/usr/local/bin' #11 13.06 /bin/bash ../../libtool --mode=install /usr/bin/install -c osmo-bts-trx '/usr/local/bin' #11 13.10 libtool: install: /usr/bin/install -c osmo-bts-trx /usr/local/bin/osmo-bts-trx #11 13.11 make[5]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-trx' #11 13.11 make[4]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-trx' #11 13.11 make[3]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-trx' #11 13.11 make[3]: Entering directory '/tmp/osmo-bts/src' #11 13.12 make[4]: Entering directory '/tmp/osmo-bts/src' #11 13.12 make[4]: Nothing to be done for 'install-exec-am'. #11 13.12 make[4]: Nothing to be done for 'install-data-am'. #11 13.12 make[4]: Leaving directory '/tmp/osmo-bts/src' #11 13.12 make[3]: Leaving directory '/tmp/osmo-bts/src' #11 13.12 make[2]: Leaving directory '/tmp/osmo-bts/src' #11 13.12 Making install in tests #11 13.12 make[2]: Entering directory '/tmp/osmo-bts/tests' #11 13.13 Making install in paging #11 13.13 make[3]: Entering directory '/tmp/osmo-bts/tests/paging' #11 13.13 make[4]: Entering directory '/tmp/osmo-bts/tests/paging' #11 13.13 make[4]: Nothing to be done for 'install-exec-am'. #11 13.13 make[4]: Nothing to be done for 'install-data-am'. #11 13.13 make[4]: Leaving directory '/tmp/osmo-bts/tests/paging' #11 13.13 make[3]: Leaving directory '/tmp/osmo-bts/tests/paging' #11 13.13 Making install in cipher #11 13.14 make[3]: Entering directory '/tmp/osmo-bts/tests/cipher' #11 13.14 make[4]: Entering directory '/tmp/osmo-bts/tests/cipher' #11 13.14 make[4]: Nothing to be done for 'install-exec-am'. #11 13.14 make[4]: Nothing to be done for 'install-data-am'. #11 13.14 make[4]: Leaving directory '/tmp/osmo-bts/tests/cipher' #11 13.14 make[3]: Leaving directory '/tmp/osmo-bts/tests/cipher' #11 13.14 Making install in agch #11 13.14 make[3]: Entering directory '/tmp/osmo-bts/tests/agch' #11 13.15 make[4]: Entering directory '/tmp/osmo-bts/tests/agch' #11 13.15 make[4]: Nothing to be done for 'install-exec-am'. #11 13.15 make[4]: Nothing to be done for 'install-data-am'. #11 13.15 make[4]: Leaving directory '/tmp/osmo-bts/tests/agch' #11 13.15 make[3]: Leaving directory '/tmp/osmo-bts/tests/agch' #11 13.15 Making install in misc #11 13.15 make[3]: Entering directory '/tmp/osmo-bts/tests/misc' #11 13.15 make[4]: Entering directory '/tmp/osmo-bts/tests/misc' #11 13.15 make[4]: Nothing to be done for 'install-exec-am'. #11 13.15 make[4]: Nothing to be done for 'install-data-am'. #11 13.15 make[4]: Leaving directory '/tmp/osmo-bts/tests/misc' #11 13.15 make[3]: Leaving directory '/tmp/osmo-bts/tests/misc' #11 13.15 Making install in handover #11 13.15 make[3]: Entering directory '/tmp/osmo-bts/tests/handover' #11 13.16 make[4]: Entering directory '/tmp/osmo-bts/tests/handover' #11 13.16 make[4]: Nothing to be done for 'install-exec-am'. #11 13.16 make[4]: Nothing to be done for 'install-data-am'. #11 13.16 make[4]: Leaving directory '/tmp/osmo-bts/tests/handover' #11 13.16 make[3]: Leaving directory '/tmp/osmo-bts/tests/handover' #11 13.16 Making install in tx_power #11 13.16 make[3]: Entering directory '/tmp/osmo-bts/tests/tx_power' #11 13.16 make[4]: Entering directory '/tmp/osmo-bts/tests/tx_power' #11 13.16 make[4]: Nothing to be done for 'install-exec-am'. #11 13.16 make[4]: Nothing to be done for 'install-data-am'. #11 13.16 make[4]: Leaving directory '/tmp/osmo-bts/tests/tx_power' #11 13.16 make[3]: Leaving directory '/tmp/osmo-bts/tests/tx_power' #11 13.16 Making install in power #11 13.16 make[3]: Entering directory '/tmp/osmo-bts/tests/power' #11 13.17 make[4]: Entering directory '/tmp/osmo-bts/tests/power' #11 13.17 make[4]: Nothing to be done for 'install-exec-am'. #11 13.17 make[4]: Nothing to be done for 'install-data-am'. #11 13.17 make[4]: Leaving directory '/tmp/osmo-bts/tests/power' #11 13.17 make[3]: Leaving directory '/tmp/osmo-bts/tests/power' #11 13.17 Making install in meas #11 13.17 make[3]: Entering directory '/tmp/osmo-bts/tests/meas' #11 13.17 make[4]: Entering directory '/tmp/osmo-bts/tests/meas' #11 13.17 make[4]: Nothing to be done for 'install-exec-am'. #11 13.17 make[4]: Nothing to be done for 'install-data-am'. #11 13.17 make[4]: Leaving directory '/tmp/osmo-bts/tests/meas' #11 13.17 make[3]: Leaving directory '/tmp/osmo-bts/tests/meas' #11 13.17 Making install in ta_control #11 13.18 make[3]: Entering directory '/tmp/osmo-bts/tests/ta_control' #11 13.18 make[4]: Entering directory '/tmp/osmo-bts/tests/ta_control' #11 13.18 make[4]: Nothing to be done for 'install-exec-am'. #11 13.18 make[4]: Nothing to be done for 'install-data-am'. #11 13.18 make[4]: Leaving directory '/tmp/osmo-bts/tests/ta_control' #11 13.18 make[3]: Leaving directory '/tmp/osmo-bts/tests/ta_control' #11 13.18 Making install in amr #11 13.18 make[3]: Entering directory '/tmp/osmo-bts/tests/amr' #11 13.18 make[4]: Entering directory '/tmp/osmo-bts/tests/amr' #11 13.18 make[4]: Nothing to be done for 'install-exec-am'. #11 13.18 make[4]: Nothing to be done for 'install-data-am'. #11 13.18 make[4]: Leaving directory '/tmp/osmo-bts/tests/amr' #11 13.18 make[3]: Leaving directory '/tmp/osmo-bts/tests/amr' #11 13.18 Making install in csd #11 13.19 make[3]: Entering directory '/tmp/osmo-bts/tests/csd' #11 13.19 make[4]: Entering directory '/tmp/osmo-bts/tests/csd' #11 13.19 make[4]: Nothing to be done for 'install-exec-am'. #11 13.19 make[4]: Nothing to be done for 'install-data-am'. #11 13.19 make[4]: Leaving directory '/tmp/osmo-bts/tests/csd' #11 13.19 make[3]: Leaving directory '/tmp/osmo-bts/tests/csd' #11 13.19 make[3]: Entering directory '/tmp/osmo-bts/tests' #11 13.20 make[4]: Entering directory '/tmp/osmo-bts/tests' #11 13.20 make[4]: Nothing to be done for 'install-exec-am'. #11 13.20 make[4]: Nothing to be done for 'install-data-am'. #11 13.20 make[4]: Leaving directory '/tmp/osmo-bts/tests' #11 13.20 make[3]: Leaving directory '/tmp/osmo-bts/tests' #11 13.20 make[2]: Leaving directory '/tmp/osmo-bts/tests' #11 13.20 Making install in doc #11 13.20 make[2]: Entering directory '/tmp/osmo-bts/doc' #11 13.20 Making install in examples #11 13.21 make[3]: Entering directory '/tmp/osmo-bts/doc/examples' #11 13.21 make[4]: Entering directory '/tmp/osmo-bts/doc/examples' #11 13.21 make[4]: Nothing to be done for 'install-exec-am'. #11 13.21 /usr/bin/mkdir -p '/usr/local/share/doc/osmo-bts/examples/osmo-bts-trx' #11 13.21 /usr/bin/mkdir -p '/usr/local/share/doc/osmo-bts/examples/osmo-bts-virtual' #11 13.21 /usr/bin/mkdir -p '/usr/local/etc/osmocom' #11 13.22 /usr/bin/install -c -m 644 virtual/osmo-bts-virtual.cfg '/usr/local/share/doc/osmo-bts/examples/osmo-bts-virtual' #11 13.22 /usr/bin/install -c -m 644 trx/osmo-bts-trx.cfg trx/osmo-bts-trx-calypso.cfg '/usr/local/share/doc/osmo-bts/examples/osmo-bts-trx' #11 13.22 /usr/bin/install -c -m 644 virtual/osmo-bts-virtual.cfg trx/osmo-bts-trx.cfg '/usr/local/etc/osmocom' #11 13.22 make[4]: Leaving directory '/tmp/osmo-bts/doc/examples' #11 13.22 make[3]: Leaving directory '/tmp/osmo-bts/doc/examples' #11 13.22 Making install in manuals #11 13.22 make[3]: Entering directory '/tmp/osmo-bts/doc/manuals' #11 13.22 make[4]: Entering directory '/tmp/osmo-bts/doc/manuals' #11 13.22 make[4]: Nothing to be done for 'install-exec-am'. #11 13.22 make[4]: Nothing to be done for 'install-data-am'. #11 13.22 make[4]: Leaving directory '/tmp/osmo-bts/doc/manuals' #11 13.22 make[3]: Leaving directory '/tmp/osmo-bts/doc/manuals' #11 13.23 make[3]: Entering directory '/tmp/osmo-bts/doc' #11 13.23 make[4]: Entering directory '/tmp/osmo-bts/doc' #11 13.23 make[4]: Nothing to be done for 'install-exec-am'. #11 13.23 make[4]: Nothing to be done for 'install-data-am'. #11 13.23 make[4]: Leaving directory '/tmp/osmo-bts/doc' #11 13.23 make[3]: Leaving directory '/tmp/osmo-bts/doc' #11 13.23 make[2]: Leaving directory '/tmp/osmo-bts/doc' #11 13.23 Making install in contrib #11 13.23 make[2]: Entering directory '/tmp/osmo-bts/contrib' #11 13.24 Making install in systemd #11 13.24 make[3]: Entering directory '/tmp/osmo-bts/contrib/systemd' #11 13.24 make[4]: Entering directory '/tmp/osmo-bts/contrib/systemd' #11 13.24 make[4]: Nothing to be done for 'install-exec-am'. #11 13.24 /usr/bin/mkdir -p '/lib/systemd/system' #11 13.25 /usr/bin/install -c -m 644 osmo-bts-virtual.service osmo-bts-trx.service '/lib/systemd/system' #11 13.25 make[4]: Leaving directory '/tmp/osmo-bts/contrib/systemd' #11 13.25 make[3]: Leaving directory '/tmp/osmo-bts/contrib/systemd' #11 13.25 Making install in ber #11 13.25 make[3]: Entering directory '/tmp/osmo-bts/contrib/ber' #11 13.25 CC rtp_ber.o #11 13.26 CC rtp_gen_map.o #11 13.31 CCLD rtp_gen_map #11 13.32 CCLD rtp_ber #11 13.58 make[4]: Entering directory '/tmp/osmo-bts/contrib/ber' #11 13.58 make[4]: Nothing to be done for 'install-exec-am'. #11 13.58 make[4]: Nothing to be done for 'install-data-am'. #11 13.58 make[4]: Leaving directory '/tmp/osmo-bts/contrib/ber' #11 13.58 make[3]: Leaving directory '/tmp/osmo-bts/contrib/ber' #11 13.58 make[3]: Entering directory '/tmp/osmo-bts/contrib' #11 13.59 make[4]: Entering directory '/tmp/osmo-bts/contrib' #11 13.59 make[4]: Nothing to be done for 'install-exec-am'. #11 13.59 make[4]: Nothing to be done for 'install-data-am'. #11 13.59 make[4]: Leaving directory '/tmp/osmo-bts/contrib' #11 13.59 make[3]: Leaving directory '/tmp/osmo-bts/contrib' #11 13.59 make[2]: Leaving directory '/tmp/osmo-bts/contrib' #11 13.59 make[2]: Entering directory '/tmp/osmo-bts' #11 13.60 make[3]: Entering directory '/tmp/osmo-bts' #11 13.60 make[3]: Nothing to be done for 'install-exec-am'. #11 13.60 make[3]: Nothing to be done for 'install-data-am'. #11 13.60 make[3]: Leaving directory '/tmp/osmo-bts' #11 13.60 make[2]: Leaving directory '/tmp/osmo-bts' #11 13.60 make[1]: Leaving directory '/tmp/osmo-bts' #11 DONE 13.8s #12 [7/8] COPY OSMO-BTS.CFG /data/osmo-bts.cfg #12 DONE 0.2s #13 [8/8] WORKDIR /DATA #13 DONE 0.1s #14 exporting to image #14 exporting layers #14 exporting layers 0.4s done #14 writing image sha256:ddf7effeef51f650952c5c77105680d4db625172052340ec2e0af6f99cbd11c7 done #14 naming to docker.io/osmocom-build/osmo-bts-master:latest 0.0s done #14 DONE 0.5s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/osmo-bts-master' + docker_image_exists osmo-bts-master + docker images -q osmocom-build/osmo-bts-master + test -n ddf7effeef51 + list_osmo_packages debian-bookworm osmo-bts-master + local distro=debian-bookworm + local image=osmo-bts-master + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmo-bts-master -c + [ -n ] + set +x ### Installed Osmocom packages in: osmo-bts-master ### ii libosmo-abis-dev:amd64 1.6.0.21.7306.202410192026 amd64 Development headers for A-bis interface ii libosmo-netif-dev:amd64 1.5.1.5.89a1.202410192026 amd64 Development headers for Osmocom network interface ii libosmoabis13:amd64 1.6.0.21.7306.202410192026 amd64 GSM A-bis handling ii libosmocodec4:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo codec library ii libosmocoding0:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo coding library ii libosmocore 1.10.0.18.abbf.202410192026 amd64 Open Source MObile COMmunications CORE library (metapackage) ii libosmocore-dev:amd64 1.10.0.18.abbf.202410192026 amd64 Development headers for Open Source MObile COMmunications CORE library ii libosmocore22:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo Core library ii libosmoctrl0:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo control library ii libosmogb14:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo GPRS GB library ii libosmogsm20:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo GSM utility library ii libosmoisdn0:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo ISDN utility library ii libosmonetif11:amd64 1.5.1.5.89a1.202410192026 amd64 Common/shared code regarding network interface for OpenBSC ii libosmosim2:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo SIM library ii libosmotrau10:amd64 1.6.0.21.7306.202410192026 amd64 GSM trau handling ii libosmousb0:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo USB library ii libosmovty13:amd64 1.10.0.18.abbf.202410192026 amd64 Osmo VTY library ii osmocom-nightly 202410192026 amd64 Dummy package, conflicts with ['osmocom-2022q1', 'osmocom-2022q2', 'osmocom-2023q1', 'osmocom-latest', 'osmocom-master', 'osmocom-nightly'] + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmocom-bb-host-master + local feed + depends= + [ -n ] + docker_distro_from_image_name osmocom-bb-host-master + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmocom-bb-host-master + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmocom-bb-host-master + echo osmocom-bb-host-master + dir=osmocom-bb-host-master + pull_arg=--pull + grep ^FROM ../osmocom-bb-host-master/Dockerfile + from_line=FROM $USER/$DISTRO-build + echo FROM $USER/$DISTRO-build + grep -q $USER + pull_arg= + set +x Building image: osmocom-bb-host-master (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmocom-bb-host-master BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmocom-bb-host-master OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/osmocom-bb-host-master' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/20.7.0 \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ -t osmocom-build/osmocom-bb-host-master:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.00kB done #1 DONE 0.0s #2 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-build:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [ 1/10] FROM docker.io/osmocom-build/debian-bookworm-build:latest #4 CACHED #5 [ 2/10] RUN APT-GET update && apt-get install -y --no-install-recommends libosmocore-dev && apt-get clean #5 ... #6 https://gerrit.osmocom.org/plugins/gitiles/osmocom-bb/+/master?format=TEXT #6 DONE 0.2s #6 https://gerrit.osmocom.org/plugins/gitiles/osmocom-bb/+/master?format=TEXT #6 CACHED #5 [ 2/10] RUN APT-GET update && apt-get install -y --no-install-recommends libosmocore-dev && apt-get clean #5 0.432 Hit:1 http://deb.debian.org/debian bookworm InRelease #5 0.432 Hit:2 http://deb.debian.org/debian bookworm-updates InRelease #5 0.432 Hit:3 http://deb.debian.org/debian-security bookworm-security InRelease #5 0.432 Hit:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ InRelease #5 0.756 Reading package lists... #5 1.133 Reading package lists... #5 1.495 Building dependency tree... #5 1.583 Reading state information... #5 1.666 The following additional packages will be installed: #5 1.666 libosmocodec4 libosmocoding0 libosmocore libosmocore22 libosmoctrl0 #5 1.666 libosmogb14 libosmogsm20 libosmoisdn0 libosmosim2 libosmousb0 libosmovty13 #5 1.667 osmocom-nightly #5 1.695 The following NEW packages will be installed: #5 1.695 libosmocodec4 libosmocoding0 libosmocore libosmocore-dev libosmocore22 #5 1.695 libosmoctrl0 libosmogb14 libosmogsm20 libosmoisdn0 libosmosim2 libosmousb0 #5 1.695 libosmovty13 osmocom-nightly #5 1.753 0 upgraded, 13 newly installed, 0 to remove and 2 not upgraded. #5 1.753 Need to get 1927 kB of archives. #5 1.753 After this operation, 6836 kB of additional disk space will be used. #5 1.753 Get:1 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ osmocom-nightly 202410192026 [1176 B] #5 1.756 Get:2 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore22 1.10.0.18.abbf.202410192026 [168 kB] #5 1.763 Get:3 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocodec4 1.10.0.18.abbf.202410192026 [50.6 kB] #5 1.763 Get:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoisdn0 1.10.0.18.abbf.202410192026 [69.7 kB] #5 1.764 Get:5 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogsm20 1.10.0.18.abbf.202410192026 [227 kB] #5 1.766 Get:6 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocoding0 1.10.0.18.abbf.202410192026 [70.3 kB] #5 1.767 Get:7 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmovty13 1.10.0.18.abbf.202410192026 [103 kB] #5 1.769 Get:8 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogb14 1.10.0.18.abbf.202410192026 [177 kB] #5 1.771 Get:9 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoctrl0 1.10.0.18.abbf.202410192026 [58.8 kB] #5 1.772 Get:10 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmosim2 1.10.0.18.abbf.202410192026 [62.9 kB] #5 1.773 Get:11 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmousb0 1.10.0.18.abbf.202410192026 [49.6 kB] #5 1.774 Get:12 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore 1.10.0.18.abbf.202410192026 [42.9 kB] #5 1.775 Get:13 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore-dev 1.10.0.18.abbf.202410192026 [846 kB] #5 1.933 debconf: delaying package configuration, since apt-utils is not installed #5 1.982 Fetched 1927 kB in 0s (23.0 MB/s) #5 2.036 Selecting previously unselected package osmocom-nightly. #5 2.036 (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 117416 files and directories currently installed.) #5 2.087 Preparing to unpack .../00-osmocom-nightly_202410192026_amd64.deb ... #5 2.102 Unpacking osmocom-nightly (202410192026) ... #5 2.259 Selecting previously unselected package libosmocore22:amd64. #5 2.269 Preparing to unpack .../01-libosmocore22_1.10.0.18.abbf.202410192026_amd64.deb ... #5 2.298 Unpacking libosmocore22:amd64 (1.10.0.18.abbf.202410192026) ... #5 2.418 Selecting previously unselected package libosmocodec4:amd64. #5 2.429 Preparing to unpack .../02-libosmocodec4_1.10.0.18.abbf.202410192026_amd64.deb ... #5 2.445 Unpacking libosmocodec4:amd64 (1.10.0.18.abbf.202410192026) ... #5 2.562 Selecting previously unselected package libosmoisdn0:amd64. #5 2.573 Preparing to unpack .../03-libosmoisdn0_1.10.0.18.abbf.202410192026_amd64.deb ... #5 2.588 Unpacking libosmoisdn0:amd64 (1.10.0.18.abbf.202410192026) ... #5 2.711 Selecting previously unselected package libosmogsm20:amd64. #5 2.721 Preparing to unpack .../04-libosmogsm20_1.10.0.18.abbf.202410192026_amd64.deb ... #5 2.736 Unpacking libosmogsm20:amd64 (1.10.0.18.abbf.202410192026) ... #5 2.854 Selecting previously unselected package libosmocoding0:amd64. #5 2.863 Preparing to unpack .../05-libosmocoding0_1.10.0.18.abbf.202410192026_amd64.deb ... #5 2.879 Unpacking libosmocoding0:amd64 (1.10.0.18.abbf.202410192026) ... #5 3.030 Selecting previously unselected package libosmovty13:amd64. #5 3.041 Preparing to unpack .../06-libosmovty13_1.10.0.18.abbf.202410192026_amd64.deb ... #5 3.058 Unpacking libosmovty13:amd64 (1.10.0.18.abbf.202410192026) ... #5 3.192 Selecting previously unselected package libosmogb14:amd64. #5 3.203 Preparing to unpack .../07-libosmogb14_1.10.0.18.abbf.202410192026_amd64.deb ... #5 3.224 Unpacking libosmogb14:amd64 (1.10.0.18.abbf.202410192026) ... #5 3.385 Selecting previously unselected package libosmoctrl0:amd64. #5 3.396 Preparing to unpack .../08-libosmoctrl0_1.10.0.18.abbf.202410192026_amd64.deb ... #5 3.412 Unpacking libosmoctrl0:amd64 (1.10.0.18.abbf.202410192026) ... #5 3.538 Selecting previously unselected package libosmosim2:amd64. #5 3.548 Preparing to unpack .../09-libosmosim2_1.10.0.18.abbf.202410192026_amd64.deb ... #5 3.564 Unpacking libosmosim2:amd64 (1.10.0.18.abbf.202410192026) ... #5 3.712 Selecting previously unselected package libosmousb0:amd64. #5 3.723 Preparing to unpack .../10-libosmousb0_1.10.0.18.abbf.202410192026_amd64.deb ... #5 3.738 Unpacking libosmousb0:amd64 (1.10.0.18.abbf.202410192026) ... #5 3.848 Selecting previously unselected package libosmocore. #5 3.860 Preparing to unpack .../11-libosmocore_1.10.0.18.abbf.202410192026_amd64.deb ... #5 3.877 Unpacking libosmocore (1.10.0.18.abbf.202410192026) ... #5 3.987 Selecting previously unselected package libosmocore-dev:amd64. #5 3.996 Preparing to unpack .../12-libosmocore-dev_1.10.0.18.abbf.202410192026_amd64.deb ... #5 4.012 Unpacking libosmocore-dev:amd64 (1.10.0.18.abbf.202410192026) ... #5 4.215 Setting up osmocom-nightly (202410192026) ... #5 4.269 Setting up libosmocore22:amd64 (1.10.0.18.abbf.202410192026) ... #5 4.315 Setting up libosmocodec4:amd64 (1.10.0.18.abbf.202410192026) ... #5 4.360 Setting up libosmovty13:amd64 (1.10.0.18.abbf.202410192026) ... #5 4.405 Setting up libosmoisdn0:amd64 (1.10.0.18.abbf.202410192026) ... #5 4.451 Setting up libosmousb0:amd64 (1.10.0.18.abbf.202410192026) ... #5 4.498 Setting up libosmogsm20:amd64 (1.10.0.18.abbf.202410192026) ... #5 4.544 Setting up libosmoctrl0:amd64 (1.10.0.18.abbf.202410192026) ... #5 4.587 Setting up libosmogb14:amd64 (1.10.0.18.abbf.202410192026) ... #5 4.633 Setting up libosmocoding0:amd64 (1.10.0.18.abbf.202410192026) ... #5 4.697 Setting up libosmosim2:amd64 (1.10.0.18.abbf.202410192026) ... #5 4.753 Setting up libosmocore (1.10.0.18.abbf.202410192026) ... #5 4.800 Setting up libosmocore-dev:amd64 (1.10.0.18.abbf.202410192026) ... #5 4.846 Processing triggers for libc-bin (2.36-9+deb12u8) ... #5 DONE 5.1s #7 [ 3/10] WORKDIR /TMP #7 DONE 0.2s #8 [ 4/10] RUN GIT clone https://gerrit.osmocom.org/osmocom-bb.git #8 0.346 Cloning into 'osmocom-bb'... #8 DONE 1.1s #9 [ 5/10] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMOCOM-BB/+/MASTER?FORMAT=TEXT /tmp/commit-osmocom-bb #9 DONE 0.2s #10 [ 6/10] RUN CD osmocom-bb && git fetch && git checkout master && (git symbolic-ref -q HEAD && git reset --hard origin/master || exit 1); git rev-parse --abbrev-ref HEAD && git rev-parse HEAD #10 0.472 Already on 'master' #10 0.472 Your branch is up to date with 'origin/master'. #10 0.474 refs/heads/master #10 0.490 HEAD is now at cb0a7293 firmware: k2xx: fix comments about flash organization #10 0.492 master #10 0.494 cb0a7293e6e9e80b51a81136e88e747858cbd6bc #10 DONE 0.6s #11 [ 7/10] RUN CD osmocom-bb/src/host/trxcon && autoreconf -fi && ./configure && make "-j$(nproc)" install #11 3.750 libtoolize: putting auxiliary files in '.'. #11 3.750 libtoolize: copying file './ltmain.sh' #11 3.775 libtoolize: putting macros in AC_CONFIG_MACRO_DIRS, 'm4'. #11 3.775 libtoolize: copying file 'm4/libtool.m4' #11 3.804 libtoolize: copying file 'm4/ltoptions.m4' #11 3.835 libtoolize: copying file 'm4/ltsugar.m4' #11 3.867 libtoolize: copying file 'm4/ltversion.m4' #11 3.897 libtoolize: copying file 'm4/lt~obsolete.m4' #11 5.957 configure.ac:21: warning: The macro `AC_HEADER_STDC' is obsolete. #11 5.957 configure.ac:21: You should run autoupdate. #11 5.957 ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from... #11 5.957 configure.ac:21: the top level #11 6.501 configure.ac:12: installing './compile' #11 6.505 configure.ac:24: installing './config.guess' #11 6.507 configure.ac:24: installing './config.sub' #11 6.510 configure.ac:3: installing './install-sh' #11 6.512 configure.ac:3: installing './missing' #11 6.593 src/Makefile.am: installing './depcomp' #11 6.719 checking for a BSD-compatible install... /usr/bin/install -c #11 6.729 checking whether build environment is sane... yes #11 6.743 checking for a race-free mkdir -p... /usr/bin/mkdir -p #11 6.746 checking for gawk... gawk #11 6.746 checking whether make sets $(MAKE)... yes #11 6.758 checking whether make supports nested variables... yes #11 6.768 checking whether make supports nested variables... (cached) yes #11 6.768 checking whether make sets $(MAKE)... (cached) yes #11 6.771 checking for gcc... gcc #11 6.808 checking whether the C compiler works... yes #11 6.850 checking for C compiler default output file name... a.out #11 6.852 checking for suffix of executables... #11 6.887 checking whether we are cross compiling... no #11 6.942 checking for suffix of object files... o #11 6.970 checking whether the compiler supports GNU C... yes #11 7.004 checking whether gcc accepts -g... yes #11 7.022 checking for gcc option to enable C11 features... none needed #11 7.053 checking whether gcc understands -c and -o together... yes #11 7.100 checking whether make supports the include directive... yes (GNU style) #11 7.111 checking dependency style of gcc... gcc3 #11 7.159 checking for pkg-config... /usr/bin/pkg-config #11 7.159 checking pkg-config is at least version 0.9.0... yes #11 7.161 checking for libosmocore... yes #11 7.168 checking for libosmocoding... yes #11 7.178 checking for libosmogsm... yes #11 7.188 checking for stdio.h... yes #11 7.212 checking for stdlib.h... yes #11 7.231 checking for string.h... yes #11 7.256 checking for inttypes.h... yes #11 7.281 checking for stdint.h... yes #11 7.303 checking for strings.h... yes #11 7.334 checking for sys/stat.h... yes #11 7.361 checking for sys/types.h... yes #11 7.386 checking for unistd.h... yes #11 7.419 checking for grep that handles long lines and -e... /usr/bin/grep #11 7.421 checking for egrep... /usr/bin/grep -E #11 7.432 checking build system type... x86_64-pc-linux-gnu #11 7.489 checking host system type... x86_64-pc-linux-gnu #11 7.490 checking how to print strings... printf #11 7.516 checking for a sed that does not truncate output... /usr/bin/sed #11 7.523 checking for fgrep... /usr/bin/grep -F #11 7.526 checking for ld used by gcc... /usr/bin/ld #11 7.532 checking if the linker (/usr/bin/ld) is GNU ld... yes #11 7.536 checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B #11 7.539 checking the name lister (/usr/bin/nm -B) interface... BSD nm #11 7.566 checking whether ln -s works... yes #11 7.566 checking the maximum length of command line arguments... 1572864 #11 7.576 checking how to convert x86_64-pc-linux-gnu file names to x86_64-pc-linux-gnu format... func_convert_file_noop #11 7.576 checking how to convert x86_64-pc-linux-gnu file names to toolchain format... func_convert_file_noop #11 7.576 checking for /usr/bin/ld option to reload object files... -r #11 7.577 checking for file... file #11 7.578 checking for objdump... objdump #11 7.578 checking how to recognize dependent libraries... pass_all #11 7.579 checking for dlltool... no #11 7.579 checking how to associate runtime and link libraries... printf %s\n #11 7.580 checking for ar... ar #11 7.580 checking for archiver @FILE support... @ #11 7.607 checking for strip... strip #11 7.608 checking for ranlib... ranlib #11 7.608 checking command to parse /usr/bin/nm -B output from gcc object... ok #11 7.673 checking for sysroot... no #11 7.674 checking for a working dd... /usr/bin/dd #11 7.681 checking how to truncate binary pipes... /usr/bin/dd bs=4096 count=1 #11 7.703 checking for mt... no #11 7.703 checking if : is a manifest tool... no #11 7.709 checking for dlfcn.h... yes #11 7.743 checking for objdir... .libs #11 7.815 checking if gcc supports -fno-rtti -fno-exceptions... no #11 7.845 checking for gcc option to produce PIC... -fPIC -DPIC #11 7.845 checking if gcc PIC flag -fPIC -DPIC works... yes #11 7.873 checking if gcc static flag -static works... yes #11 7.939 checking if gcc supports -c -o file.o... yes #11 7.974 checking if gcc supports -c -o file.o... (cached) yes #11 7.974 checking whether the gcc linker (/usr/bin/ld -m elf_x86_64) supports shared libraries... yes #11 7.988 checking whether -lc should be explicitly linked in... no #11 8.022 checking dynamic linker characteristics... GNU/Linux ld.so #11 8.096 checking how to hardcode library paths into programs... immediate #11 8.096 checking whether stripping libraries is possible... yes #11 8.098 checking if libtool supports shared libraries... yes #11 8.098 checking whether to build shared libraries... yes #11 8.098 checking whether to build static libraries... yes #11 8.099 CFLAGS=" -std=gnu11" #11 8.099 CPPFLAGS="" #11 8.128 checking that generated files are newer than configure... done #11 8.129 configure: creating ./config.status #11 8.794 config.status: creating include/Makefile #11 8.819 config.status: creating include/osmocom/Makefile #11 8.842 config.status: creating include/osmocom/bb/Makefile #11 8.864 config.status: creating include/osmocom/bb/l1sched/Makefile #11 8.887 config.status: creating include/osmocom/bb/trxcon/Makefile #11 8.911 config.status: creating src/Makefile #11 8.934 config.status: creating Makefile #11 8.953 config.status: executing depfiles commands #11 9.059 config.status: executing libtool commands #11 9.117 echo 0.0.0 > .version-t && mv .version-t .version #11 9.120 make install-recursive #11 9.125 make[1]: Entering directory '/tmp/osmocom-bb/src/host/trxcon' #11 9.130 Making install in include #11 9.132 make[2]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.137 Making install in osmocom #11 9.140 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.146 Making install in bb #11 9.149 make[4]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.154 Making install in l1sched #11 9.157 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 9.160 make[6]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 9.160 make[6]: Nothing to be done for 'install-exec-am'. #11 9.160 make[6]: Nothing to be done for 'install-data-am'. #11 9.160 make[6]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 9.160 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 9.160 Making install in trxcon #11 9.164 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 9.167 make[6]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 9.167 make[6]: Nothing to be done for 'install-exec-am'. #11 9.167 make[6]: Nothing to be done for 'install-data-am'. #11 9.167 make[6]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 9.167 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 9.170 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.174 make[6]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.174 make[6]: Nothing to be done for 'install-exec-am'. #11 9.174 make[6]: Nothing to be done for 'install-data-am'. #11 9.174 make[6]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.174 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.175 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.178 make[4]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.180 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.180 make[5]: Nothing to be done for 'install-exec-am'. #11 9.180 make[5]: Nothing to be done for 'install-data-am'. #11 9.180 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.180 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.181 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.184 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.186 make[4]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.186 make[4]: Nothing to be done for 'install-exec-am'. #11 9.186 make[4]: Nothing to be done for 'install-data-am'. #11 9.186 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.186 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.187 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.187 Making install in src #11 9.191 make[2]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 9.192 CC l1ctl_server.o #11 9.193 CC trxcon_main.o #11 9.193 CC logging.o #11 9.193 CC trx_if.o #11 9.194 CC trxcon_inst.lo #11 9.195 CC trxcon_fsm.lo #11 9.195 CC l1ctl.lo #11 9.195 CC trxcon_shim.lo #11 9.196 CC sched_lchan_common.lo #11 9.197 CC sched_lchan_pdtch.lo #11 9.197 CC sched_lchan_desc.lo #11 9.198 CC sched_lchan_xcch.lo #11 9.198 CC sched_lchan_tchf.lo #11 9.198 CC sched_lchan_rach.lo #11 9.199 CC sched_lchan_tchh.lo #11 9.199 CC sched_lchan_sch.lo #11 9.247 CC sched_mframe.lo #11 9.269 CC sched_prim.lo #11 9.287 CC sched_trx.lo #11 9.304 sched_lchan_tchh.c: In function 'rx_tchh_fn': #11 9.304 sched_lchan_tchh.c:339:17: warning: 'gsm0503_tch_hr_decode' is deprecated: Use gsm0503_tch_hr_decode2() instead [-Wdeprecated-declarations] #11 9.304 339 | rc = gsm0503_tch_hr_decode(&tch_data[0], BUFTAIL8(bursts_p), #11 9.304 | ^~ #11 9.305 In file included from sched_lchan_tchh.c:36: #11 9.305 /usr/include/osmocom/coding/gsm0503_coding.h:52:5: note: declared here #11 9.305 52 | int gsm0503_tch_hr_decode(uint8_t *tch_data, const sbit_t *bursts, int odd, #11 9.305 | ^~~~~~~~~~~~~~~~~~~~~ #11 9.352 CC l1gprs.lo #11 9.523 CCLD libtrxcon.la #11 9.548 CCLD libl1sched.la #11 9.632 CCLD libl1gprs.la #11 9.736 CCLD trxcon #11 10.26 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 10.26 make[3]: Nothing to be done for 'install-data-am'. #11 10.26 /usr/bin/mkdir -p '/usr/local/bin' #11 10.26 /bin/bash ../libtool --mode=install /usr/bin/install -c trxcon '/usr/local/bin' #11 10.30 libtool: install: /usr/bin/install -c trxcon /usr/local/bin/trxcon #11 10.31 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 10.31 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 10.31 make[2]: Entering directory '/tmp/osmocom-bb/src/host/trxcon' #11 10.31 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon' #11 10.31 make[3]: Nothing to be done for 'install-exec-am'. #11 10.31 make[3]: Nothing to be done for 'install-data-am'. #11 10.31 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon' #11 10.31 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon' #11 10.31 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon' #11 DONE 10.5s #12 [ 8/10] RUN CD osmocom-bb/src/host/virt_phy && autoreconf -fi && ./configure && make "-j$(nproc)" install #12 2.188 configure.ac:3: warning: 'AM_CONFIG_HEADER': this macro is obsolete. #12 2.188 configure.ac:3: You should use the 'AC_CONFIG_HEADERS' macro instead. #12 2.188 ./lib/autoconf/general.m4:2434: AC_DIAGNOSE is expanded from... #12 2.188 aclocal.m4:1089: AM_CONFIG_HEADER is expanded from... #12 2.188 configure.ac:3: the top level #12 2.188 configure.ac:23: warning: The macro `AC_HEADER_STDC' is obsolete. #12 2.188 configure.ac:23: You should run autoupdate. #12 2.188 ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from... #12 2.188 configure.ac:23: the top level #12 2.746 configure.ac:13: installing './compile' #12 2.749 configure.ac:4: installing './install-sh' #12 2.753 configure.ac:4: installing './missing' #12 2.819 src/Makefile.am: installing './depcomp' #12 2.948 checking for a BSD-compatible install... /usr/bin/install -c #12 2.960 checking whether build environment is sane... yes #12 2.975 checking for a race-free mkdir -p... /usr/bin/mkdir -p #12 2.978 checking for gawk... gawk #12 2.978 checking whether make sets $(MAKE)... yes #12 2.992 checking whether make supports nested variables... yes #12 3.003 checking whether make supports nested variables... (cached) yes #12 3.003 checking whether make sets $(MAKE)... (cached) yes #12 3.006 checking for gcc... gcc #12 3.036 checking whether the C compiler works... yes #12 3.087 checking for C compiler default output file name... a.out #12 3.089 checking for suffix of executables... #12 3.132 checking whether we are cross compiling... no #12 3.171 checking for suffix of object files... o #12 3.194 checking whether the compiler supports GNU C... yes #12 3.217 checking whether gcc accepts -g... yes #12 3.234 checking for gcc option to enable C11 features... none needed #12 3.265 checking whether gcc understands -c and -o together... yes #12 3.308 checking whether make supports the include directive... yes (GNU style) #12 3.318 checking dependency style of gcc... gcc3 #12 3.349 checking for pkg-config... /usr/bin/pkg-config #12 3.350 checking pkg-config is at least version 0.9.0... yes #12 3.351 checking for libosmocore... yes #12 3.359 checking for libosmogsm... yes #12 3.371 checking for stdio.h... yes #12 3.392 checking for stdlib.h... yes #12 3.417 checking for string.h... yes #12 3.445 checking for inttypes.h... yes #12 3.469 checking for stdint.h... yes #12 3.497 checking for strings.h... yes #12 3.527 checking for sys/stat.h... yes #12 3.552 checking for sys/types.h... yes #12 3.578 checking for unistd.h... yes #12 3.602 checking for grep that handles long lines and -e... /usr/bin/grep #12 3.605 checking for egrep... /usr/bin/grep -E #12 3.607 CFLAGS=" -std=gnu11" #12 3.607 CPPFLAGS="" #12 3.626 checking that generated files are newer than configure... done #12 3.969 configure: creating ./config.status #12 4.081 config.status: creating Makefile #12 4.094 config.status: creating include/Makefile #12 4.113 config.status: creating include/osmocom/Makefile #12 4.134 config.status: creating include/osmocom/bb/Makefile #12 4.156 config.status: creating include/osmocom/bb/virtphy/Makefile #12 4.179 config.status: creating src/Makefile #12 4.201 config.status: creating config.h #12 4.214 config.status: executing depfiles commands #12 4.349 Making install in include #12 4.352 make[1]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.357 Making install in osmocom #12 4.360 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.365 Making install in bb #12 4.367 make[3]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.372 Making install in virtphy #12 4.374 make[4]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 4.378 make[5]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 4.378 make[5]: Nothing to be done for 'install-exec-am'. #12 4.378 make[5]: Nothing to be done for 'install-data-am'. #12 4.378 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 4.378 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 4.381 make[4]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.385 make[5]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.385 make[5]: Nothing to be done for 'install-exec-am'. #12 4.385 make[5]: Nothing to be done for 'install-data-am'. #12 4.385 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.385 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.386 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.388 make[3]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.392 make[4]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.392 make[4]: Nothing to be done for 'install-exec-am'. #12 4.392 make[4]: Nothing to be done for 'install-data-am'. #12 4.392 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.392 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.392 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.395 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.397 make[3]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.397 make[3]: Nothing to be done for 'install-exec-am'. #12 4.397 make[3]: Nothing to be done for 'install-data-am'. #12 4.397 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.397 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.398 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.398 Making install in src #12 4.400 make[1]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 4.401 CC virtphy.o #12 4.402 CC l1gprs.o #12 4.402 CC logging.o #12 4.402 CC gsmtapl1_if.o #12 4.402 CC l1ctl_sap.o #12 4.402 CC l1ctl_sock.o #12 4.403 CC virt_prim_pm.o #12 4.404 CC virt_prim_data.o #12 4.404 CC virt_prim_fbsb.o #12 4.404 CC virt_prim_rach.o #12 4.405 CC virt_l1_sched_simple.o #12 4.405 CC virt_prim_traffic.o #12 4.405 CC virt_prim_pdch.o #12 4.406 CC virt_l1_model.o #12 4.412 CC shared/virtual_um.o #12 4.412 CC shared/osmo_mcast_sock.o #12 4.537 CCLD virtphy #12 4.588 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 4.588 make[2]: Nothing to be done for 'install-data-am'. #12 4.589 /usr/bin/mkdir -p '/usr/local/bin' #12 4.594 /usr/bin/install -c virtphy '/usr/local/bin' #12 4.597 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 4.597 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 4.600 make[1]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy' #12 4.607 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy' #12 4.607 make[2]: Nothing to be done for 'install-exec-am'. #12 4.609 /usr/bin/mkdir -p '/usr/local/share/doc/virtphy' #12 4.613 /usr/bin/install -c -m 644 README '/usr/local/share/doc/virtphy' #12 4.615 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy' #12 4.616 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy' #12 DONE 4.7s #13 [ 9/10] RUN MKDIR -p /data/unix #13 DONE 0.5s #14 [10/10] WORKDIR /DATA #14 DONE 0.2s #15 exporting to image #15 exporting layers #15 exporting layers 0.5s done #15 writing image sha256:105e6796986befb1d3ef6198debb2fe7c209c8118a9c94deec47b933db5ee857 done #15 naming to docker.io/osmocom-build/osmocom-bb-host-master:latest 0.0s done #15 DONE 0.5s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/osmocom-bb-host-master' + docker_image_exists osmocom-bb-host-master + docker images -q osmocom-build/osmocom-bb-host-master + test -n 105e6796986b + list_osmo_packages debian-bookworm osmocom-bb-host-master + local distro=debian-bookworm + local image=osmocom-bb-host-master + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmocom-bb-host-master -c + [ -n ] + return + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends ttcn3-bts-test + local feed + echo debian-bookworm-titan + depends=debian-bookworm-titan + [ -n debian-bookworm-titan ] + docker_images_require debian-bookworm-titan + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker pull registry.osmocom.org/osmocom-build/debian-bookworm-titan Using default tag: latest latest: Pulling from osmocom-build/debian-bookworm-titan Digest: sha256:7950c24fb48694fe5144f9a98bd0e4555bdfd546a204847500dc6d3c968f7421 Status: Image is up to date for registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest + continue + docker_distro_from_image_name ttcn3-bts-test + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name ttcn3-bts-test + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name ttcn3-bts-test + echo ttcn3-bts-test + dir=ttcn3-bts-test + pull_arg=--pull + grep ^FROM ../ttcn3-bts-test/Dockerfile + from_line=FROM $REGISTRY/$USER/debian-bookworm-titan + echo FROM $REGISTRY/$USER/debian-bookworm-titan + grep -q $USER + pull_arg= + set +x Building image: ttcn3-bts-test (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../ttcn3-bts-test BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/ttcn3-bts-test OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/ttcn3-bts-test' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/20.7.0 \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ -t osmocom-build/ttcn3-bts-test:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 393B done #1 DONE 0.0s #2 [internal] load metadata for registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [1/4] FROM registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest #4 DONE 0.0s #5 [internal] load build context #5 transferring context: 876B done #5 DONE 0.1s #6 https://gerrit.osmocom.org/plugins/gitiles/osmo-ttcn3-hacks/+/master?format=TEXT #6 DONE 0.1s #7 [3/4] RUN TTCN3-DOCKER-PREPARE "master" bts #7 CACHED #8 [2/4] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-TTCN3-HACKS/+/MASTER?FORMAT=TEXT /tmp/commit #8 CACHED #9 [4/4] COPY GENERIC/BTS_TESTS.CFG /data/BTS_Tests.cfg #9 CACHED #10 exporting to image #10 exporting layers done #10 writing image sha256:63616d37a5d4fdd4f1dc246c22fa799ea4a027d4097e6c526709f929bf3f9e8d done #10 naming to docker.io/osmocom-build/ttcn3-bts-test:latest done #10 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/ttcn3-bts-test' + docker_image_exists ttcn3-bts-test + docker images -q osmocom-build/ttcn3-bts-test + test -n 63616d37a5d4 + list_osmo_packages debian-bookworm ttcn3-bts-test + local distro=debian-bookworm + local image=ttcn3-bts-test + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/ttcn3-bts-test -c + [ -n ] + return + set_clean_up_trap + trap clean_up_common EXIT INT TERM 0 + set -e + network_create + SUBNET=1035807 + seq 1 30 + echo (1035807 + 1) % 256 + bc + SUBNET=32 + NET_NAME=ttcn3-bts-test-32 + SUB4=172.18.32.0/24 + SUB6=fd02:db8:32::/64 + set +x Creating network ttcn3-bts-test-32, trying SUBNET=32... + docker network create --internal --subnet 172.18.32.0/24 --ipv6 --subnet fd02:db8:32::/64 ttcn3-bts-test-32 85059a4315b9296f30f3ab5ce1c8f44f03dd3778de38ee54e49151ebd5de19be + set +x ### Network ttcn3-bts-test-32 created (SUBNET=32) ### + return + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic + cp generic/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic/BTS_Tests.cfg + osmo_repo_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-virtphy + cp virtphy/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-virtphy/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-virtphy/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-virtphy/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-virtphy/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-virtphy/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-virtphy/BTS_Tests.cfg + osmo_repo_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml + cp oml/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml/BTS_Tests.cfg + osmo_repo_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping + cp fh/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg + cp /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg.inc + cp Makefile /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/Makefile + network_replace_subnet_in_configs + set +x Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/Makefile Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic/BTS_Tests.cfg + make -f /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/Makefile cfg command -v osmo-config-merge /usr/bin/osmo-config-merge docker run \ --rm \ --user 1000 \ -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/ttcn3-bts-test:/data \ osmocom-build/ttcn3-bts-test \ cp /osmo-ttcn3-hacks/bts/osmo-bts.cfg /data/osmo-bts.src.cfg docker run \ --rm \ --user 1000 \ -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/ttcn3-bts-test:/data \ osmocom-build/ttcn3-bts-test \ cp /osmo-ttcn3-hacks/bts/osmo-bsc.cfg /data/osmo-bsc.src.cfg osmo-config-merge osmo-bts.src.cfg generic/osmo-bts.confmerge > generic/osmo-bts.gen.cfg sed -i "s/log gsmtap 127.0.0.1/log gsmtap 172.18.32.10/" generic/osmo-bts.gen.cfg osmo-config-merge osmo-bsc.src.cfg generic/osmo-bsc.confmerge > generic/osmo-bsc.gen.cfg sed -i "s/log gsmtap 127.0.0.1/log gsmtap 172.18.32.10/" generic/osmo-bsc.gen.cfg osmo-config-merge generic/osmo-bts.gen.cfg virtphy/osmo-bts.confmerge > virtphy/osmo-bts.gen.cfg sed -i "/osmotrx/d" virtphy/osmo-bts.gen.cfg osmo-config-merge generic/osmo-bts.gen.cfg oml/osmo-bts.confmerge > oml/osmo-bts.gen.cfg osmo-config-merge generic/osmo-bsc.gen.cfg fh/osmo-bsc.confmerge > fh/osmo-bsc.gen.cfg + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bsc + cp generic/osmo-bsc.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bsc/ + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts/unix + cp generic/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts/ + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/unix + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/fake_trx + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/trxcon + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/virtphy + start_config_generic + test_config_enabled generic + local config=generic + local i + local valid=0 + [ generic = generic ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ generic = generic ] + return 0 + network_replace_subnet_in_configs + set +x Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/Makefile Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bsc/osmo-bsc.gen.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts/osmo-bts.gen.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic/BTS_Tests.cfg + start_bsc + echo Starting container with BSC Starting container with BSC + docker_network_params 32 11 + NET=32 + ADDR_SUFIX=11 + echo --network ttcn3-bts-test-32 --ip 172.18.32.11 --ip6 fd02:db8:32::11 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.11 --ip6 fd02:db8:32::11 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bsc:/data --name jenkins-ttcn3-bts-test-2556-bsc -d osmocom-build/osmo-bsc-master /bin/sh -c osmo-bsc -c /data/osmo-bsc.gen.cfg >>/data/osmo-bsc.log 2>&1 1201b3179d9b5dfb4911ed62d961b3c5d44fc371436ae0a7b782368fb170920c + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 32 20 + NET=32 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-32 --ip 172.18.32.20 --ip6 fd02:db8:32::20 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.20 --ip6 fd02:db8:32::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-2556-bts -d osmocom-build/osmo-bts-master /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 f88613e44aa8c9f27d91a9464e865022658f5e5557675454c9317cf29206783e + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 32 21 + NET=32 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-32 --ip 172.18.32.21 --ip6 fd02:db8:32::21 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.21 --ip6 fd02:db8:32::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-2556-fake_trx -d osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.32.20 -r 172.18.32.22 --trx TRX1@172.18.32.20:5700/1 --trx TRX2@172.18.32.20:5700/2 --trx TRX3@172.18.32.20:5700/3 >>/data/fake_trx.out 2>&1 8ad078eac1ab89d68da66dd462f9b31466de62eeb97fb100631cca620f8bc4d8 + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 32 22 + NET=32 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-32 --ip 172.18.32.22 --ip6 fd02:db8:32::22 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.22 --ip6 fd02:db8:32::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-2556-trxcon -d osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.32.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 c1762dd222c8498467baae64ba9c8690c419ee08bc38047ff6587e9109ff20b8 + start_testsuite generic + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=generic + docker_network_params 32 10 + NET=32 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-32 --ip 172.18.32.10 --ip6 fd02:db8:32::10 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.10 --ip6 fd02:db8:32::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.32.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-2556-ttcn3-bts-test osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@1830904baf87: Unix server socket created successfully. MC@1830904baf87: Listening on TCP port 46073. MC2> 1830904baf87 is the default spawn /osmo-ttcn3-hacks/bts/BTS_Tests 1830904baf87 46073 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@1830904baf87: New HC connected from 172.18.32.10 [172.18.32.10]. 1830904baf87: Linux 6.1.0-21-amd64 on x86_64. cmtc MC@1830904baf87: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@1830904baf87: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@1830904baf87: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@1830904baf87: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@1830904baf87: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@1830904baf87: Configuration file was processed on all HCs. MC@1830904baf87: Creating MTC on host 172.18.32.10. MC@1830904baf87: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan'. ------ BTS_Tests.TC_est_dchan ------ Sun Oct 20 05:13:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_est_dchan.pcap" >/data/BTS_Tests.TC_est_dchan.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_est_dchan started. TC_est_dchan-RSL-IPA(3)@1830904baf87: Established a new IPA connection (conn_id=2) TC_est_dchan-RSL(4)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL-IPA(3)@1830904baf87: Established a new IPA connection (conn_id=3) TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@1830904baf87: Established a new IPA connection (conn_id=4) TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_est_dchan-RSL-IPA(3)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL(4)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_est_dchan-RSL-IPA(3)@1830904baf87: Established a new IPA connection (conn_id=5) TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_est_dchan-RSL-IPA(3)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_est_dchan-RSL(4)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 2/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_est_dchan-RSL-IPA(3)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_est_dchan-RSL(4)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_est_dchan-RSL-IPA(3)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan(6)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(6)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(6)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(6)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(7)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 0, t3 := 4, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(7)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(7)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 0, t3 := 14, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(8)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(8)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(9)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 0, t3 := 4, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(9)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(9)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 0, t3 := 14, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(10)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(10)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 0, t3 := 22, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(11)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(11)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 0, t3 := 45, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(12)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(12)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(13)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 0, t3 := 4, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(13)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(13)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 0, t3 := 22, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(14)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(14)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 1, t3 := 45, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(15)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(15)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 1, t3 := 32, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(16)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(16)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 1, t3 := 32, t2 := 21 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(17)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(17)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(18)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 1, t3 := 4, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(18)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(18)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 1, t3 := 45, t2 := 5 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(19)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(19)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(20)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 1, t3 := 4, t2 := 13 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(20)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(20)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(21)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 1, t3 := 4, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(21)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(21)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(22)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(22)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 1, t3 := 14, t2 := 19 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(22)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(22)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 1, t3 := 45, t2 := 22 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(23)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(23)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(24)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 2, t3 := 4, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(24)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(24)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(25)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000110'B, t1p := 2, t3 := 4, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(25)@1830904baf87: Received IMM.ASS for our RACH! TC_est_dchan(25)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8950 TC_est_dchan-RSL(4)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(5)@1830904baf87: Final verdict of PTC: none TC_est_dchan-RSL-IPA(3)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_est_dchan-RSL-IPA(3): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_est_dchan-RSL(4): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(5): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_est_dchan(6): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(7): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(8): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(9): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(10): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(11): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(12): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(13): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(14): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(15): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(16): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(17): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(18): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(19): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(20): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(21): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(22): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(23): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(24): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_est_dchan(25): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Test case TC_est_dchan finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass'. Sun Oct 20 05:13:44 UTC 2024 ====== BTS_Tests.TC_est_dchan pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_est_dchan.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14256856) Waiting for packet dumper to finish... 1 (prev_count=14256856, count=14262466) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress'. ------ BTS_Tests.TC_chan_act_stress ------ Sun Oct 20 05:13:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_stress.pcap" >/data/BTS_Tests.TC_chan_act_stress.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_chan_act_stress started. TC_chan_act_stress-RSL-IPA(26)@1830904baf87: Established a new IPA connection (conn_id=2) TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@1830904baf87: Established a new IPA connection (conn_id=3) TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@1830904baf87: Established a new IPA connection (conn_id=4) TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_stress-RSL-IPA(26)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@1830904baf87: Established a new IPA connection (conn_id=5) TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_stress-RSL-IPA(26)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_stress-RSL-IPA(26)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_stress-RSL-IPA(26)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_stress-RSL(27)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress(29)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1031 TC_chan_act_stress-RSL(27)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(28)@1830904baf87: Final verdict of PTC: none TC_chan_act_stress-RSL-IPA(26)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_chan_act_stress-RSL-IPA(26): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_stress-RSL(27): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(28): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_stress(29): pass (none -> pass) MTC@1830904baf87: Test case TC_chan_act_stress finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass'. Sun Oct 20 05:13:51 UTC 2024 ====== BTS_Tests.TC_chan_act_stress pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_stress.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9284674) Waiting for packet dumper to finish... 1 (prev_count=9284674, count=9285263) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react'. ------ BTS_Tests.TC_chan_act_react ------ Sun Oct 20 05:13:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_react.pcap" >/data/BTS_Tests.TC_chan_act_react.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_chan_act_react started. TC_chan_act_react-RSL-IPA(30)@1830904baf87: Established a new IPA connection (conn_id=2) TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL-IPA(30)@1830904baf87: Established a new IPA connection (conn_id=3) TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL(31)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@1830904baf87: Established a new IPA connection (conn_id=4) TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_react-RSL-IPA(30)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@1830904baf87: Established a new IPA connection (conn_id=5) TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_react-RSL-IPA(30)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_react-RSL-IPA(30)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_chan_act_react-RSL-IPA(30)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL(31)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react(33)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_react(33)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1055 TC_chan_act_react-RSL(31)@1830904baf87: Final verdict of PTC: none TC_chan_act_react-RSL-IPA(30)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(32)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_chan_act_react-RSL-IPA(30): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_react-RSL(31): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(32): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_react(33): pass (none -> pass) MTC@1830904baf87: Test case TC_chan_act_react finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass'. Sun Oct 20 05:13:57 UTC 2024 ====== BTS_Tests.TC_chan_act_react pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_react.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1898117) Waiting for packet dumper to finish... 1 (prev_count=1898117, count=1898706) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active'. ------ BTS_Tests.TC_chan_deact_not_active ------ Sun Oct 20 05:14:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_deact_not_active.pcap" >/data/BTS_Tests.TC_chan_deact_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_chan_deact_not_active started. TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: Established a new IPA connection (conn_id=2) TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: Established a new IPA connection (conn_id=3) TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: Established a new IPA connection (conn_id=4) TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL(35)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: Established a new IPA connection (conn_id=5) MTC@1830904baf87: 1/4 transceiver(s) connected TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL(35)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active(37)@1830904baf87: setverdict(pass): none -> pass TC_chan_deact_not_active(37)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1077 TC_chan_deact_not_active-RSL(35)@1830904baf87: Final verdict of PTC: none TC_chan_deact_not_active-RSL-IPA(34)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(36)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_chan_deact_not_active-RSL-IPA(34): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_deact_not_active-RSL(35): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(36): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_deact_not_active(37): pass (none -> pass) MTC@1830904baf87: Test case TC_chan_deact_not_active finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass'. Sun Oct 20 05:14:03 UTC 2024 ====== BTS_Tests.TC_chan_deact_not_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_deact_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1877849) Waiting for packet dumper to finish... 1 (prev_count=1877849, count=1878346) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr'. ------ BTS_Tests.TC_chan_act_wrong_nr ------ Sun Oct 20 05:14:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_wrong_nr.pcap" >/data/BTS_Tests.TC_chan_act_wrong_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_chan_act_wrong_nr started. TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: Established a new IPA connection (conn_id=2) TC_chan_act_wrong_nr-RSL(39)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: Established a new IPA connection (conn_id=3) TC_chan_act_wrong_nr-RSL(39)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: Established a new IPA connection (conn_id=4) TC_chan_act_wrong_nr-RSL(39)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: Established a new IPA connection (conn_id=5) TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr(41)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(41)@1830904baf87: Final verdict of PTC: pass TC_chan_act_wrong_nr(42)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(42)@1830904baf87: Final verdict of PTC: pass TC_chan_act_wrong_nr(43)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(43)@1830904baf87: Final verdict of PTC: pass TC_chan_act_wrong_nr(44)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(44)@1830904baf87: Final verdict of PTC: pass TC_chan_act_wrong_nr(45)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(45)@1830904baf87: Final verdict of PTC: pass TC_chan_act_wrong_nr(46)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(46)@1830904baf87: Final verdict of PTC: pass TC_chan_act_wrong_nr(47)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(47)@1830904baf87: Final verdict of PTC: pass TC_chan_act_wrong_nr(48)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(48)@1830904baf87: Final verdict of PTC: pass TC_chan_act_wrong_nr(49)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(49)@1830904baf87: Final verdict of PTC: pass TC_chan_act_wrong_nr(50)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(50)@1830904baf87: Final verdict of PTC: pass TC_chan_act_wrong_nr(51)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(51)@1830904baf87: Final verdict of PTC: pass TC_chan_act_wrong_nr(52)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(52)@1830904baf87: Final verdict of PTC: pass TC_chan_act_wrong_nr(53)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_wrong_nr(53)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1129 TC_chan_act_wrong_nr-RSL(39)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(40)@1830904baf87: Final verdict of PTC: none TC_chan_act_wrong_nr-RSL-IPA(38)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr-RSL-IPA(38): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr-RSL(39): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(40): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(41): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(42): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(43): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(44): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(45): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(46): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(47): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(48): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(49): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(50): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(51): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(52): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_wrong_nr(53): pass (pass -> pass) MTC@1830904baf87: Test case TC_chan_act_wrong_nr finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass'. Sun Oct 20 05:14:08 UTC 2024 ====== BTS_Tests.TC_chan_act_wrong_nr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_wrong_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1999201) Waiting for packet dumper to finish... 1 (prev_count=1999201, count=2004374) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch'. ------ BTS_Tests.TC_deact_sacch ------ Sun Oct 20 05:14:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_deact_sacch.pcap" >/data/BTS_Tests.TC_deact_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_deact_sacch started. TC_deact_sacch-RSL-IPA(54)@1830904baf87: Established a new IPA connection (conn_id=2) TC_deact_sacch-RSL(55)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL-IPA(54)@1830904baf87: Established a new IPA connection (conn_id=3) TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@1830904baf87: Established a new IPA connection (conn_id=4) TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_deact_sacch-RSL-IPA(54)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@1830904baf87: Established a new IPA connection (conn_id=5) TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_deact_sacch-RSL(55)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_deact_sacch-RSL-IPA(54)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_deact_sacch-RSL-IPA(54)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_deact_sacch-RSL-IPA(54)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_deact_sacch-RSL-IPA(54)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_deact_sacch-RSL(55)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_deact_sacch-RSL(55)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_deact_sacch(57)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(57)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_deact_sacch(58)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(58)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_deact_sacch(59)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(59)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_deact_sacch(60)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(60)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_deact_sacch(61)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(61)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_deact_sacch(62)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(62)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_deact_sacch(63)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(63)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_deact_sacch(64)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(64)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_deact_sacch(65)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(65)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_deact_sacch(66)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(66)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_deact_sacch(67)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(67)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_deact_sacch(68)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(68)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_deact_sacch(69)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(69)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_deact_sacch(70)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(70)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_deact_sacch(71)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(71)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_deact_sacch(72)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(72)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_deact_sacch(73)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(73)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_deact_sacch(74)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(74)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_deact_sacch(75)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(75)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_deact_sacch(76)@1830904baf87: setverdict(pass): none -> pass TC_deact_sacch(76)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1210 TC_deact_sacch-RSL(55)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(56)@1830904baf87: Final verdict of PTC: none TC_deact_sacch-RSL-IPA(54)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_deact_sacch-RSL-IPA(54): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch-RSL(55): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(56): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(57): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(58): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(59): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(60): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(61): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(62): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(63): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(64): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(65): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(66): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(67): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(68): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(69): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(70): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(71): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(72): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(73): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(74): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(75): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_deact_sacch(76): pass (pass -> pass) MTC@1830904baf87: Test case TC_deact_sacch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass'. Sun Oct 20 05:15:43 UTC 2024 ====== BTS_Tests.TC_deact_sacch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_deact_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=88257509) Waiting for packet dumper to finish... 1 (prev_count=88257509, count=88258006) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling'. ------ BTS_Tests.TC_sacch_filling ------ Sun Oct 20 05:15:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_filling.pcap" >/data/BTS_Tests.TC_sacch_filling.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sacch_filling started. TC_sacch_filling-RSL-IPA(77)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_filling-RSL-IPA(77)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_filling-RSL-IPA(77)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_filling-RSL-IPA(77)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_filling-RSL-IPA(77)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_filling-RSL-IPA(77)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sacch_filling-RSL(78)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sacch_filling-RSL(78)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_filling(80)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(80)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_filling(81)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(81)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_filling(82)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(82)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_filling(83)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(83)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_filling(84)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(84)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_filling(85)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(85)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_filling(86)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(86)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_filling(87)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(87)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_filling(88)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(88)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_filling(89)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(89)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_filling(90)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(90)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_filling(91)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(91)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_filling(92)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(92)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_filling(93)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(93)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_filling(94)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(94)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_filling(95)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(95)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_filling(96)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(96)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_filling(97)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(97)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_filling(98)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(98)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_filling(99)@1830904baf87: setverdict(pass): none -> pass TC_sacch_filling(99)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1295 TC_sacch_filling-RSL(78)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(79)@1830904baf87: Final verdict of PTC: none TC_sacch_filling-RSL-IPA(77)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sacch_filling-RSL-IPA(77): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling-RSL(78): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(79): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(80): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(81): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(82): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(83): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(84): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(85): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(86): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(87): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(88): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(89): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(90): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(91): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(92): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(93): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(94): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(95): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(96): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(97): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(98): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_filling(99): pass (pass -> pass) MTC@1830904baf87: Test case TC_sacch_filling finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass'. Sun Oct 20 05:16:03 UTC 2024 ====== BTS_Tests.TC_sacch_filling pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_filling.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12171661) Waiting for packet dumper to finish... 1 (prev_count=12171661, count=12172158) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod'. ------ BTS_Tests.TC_sacch_info_mod ------ Sun Oct 20 05:16:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_info_mod.pcap" >/data/BTS_Tests.TC_sacch_info_mod.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sacch_info_mod started. TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sacch_info_mod-RSL(101)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sacch_info_mod-RSL(101)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_info_mod(103)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(103)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(103)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(103)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(103)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_info_mod(104)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(104)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(104)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(104)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(104)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_info_mod(105)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(105)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(105)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(105)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(105)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_info_mod(106)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(106)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(106)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(106)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(106)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_info_mod(107)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(107)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(107)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(107)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(107)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_info_mod(108)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(108)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(108)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(108)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(108)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_info_mod(109)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(109)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(109)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(109)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(109)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_info_mod(110)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(110)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(110)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(110)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(110)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_info_mod(111)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(111)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(111)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(111)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(111)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_info_mod(112)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(112)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(112)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(112)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(112)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_info_mod(113)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(113)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(113)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(113)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(113)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_info_mod(114)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(114)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(114)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(114)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(114)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_info_mod(115)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(115)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(115)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(115)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(115)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_info_mod(116)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(116)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(116)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(116)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(116)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_info_mod(117)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(117)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(117)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(117)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(117)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_info_mod(118)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(118)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(118)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(118)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(118)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_info_mod(119)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(119)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(119)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(119)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(119)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_info_mod(120)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(120)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(120)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(120)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(120)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_info_mod(121)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(121)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(121)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(121)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(121)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_info_mod(122)@1830904baf87: Activating channel, expecting standard SI5 TC_sacch_info_mod(122)@1830904baf87: setverdict(pass): none -> pass TC_sacch_info_mod(122)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@1830904baf87: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(122)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@1830904baf87: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(122)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1343 TC_sacch_info_mod-RSL(101)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(102)@1830904baf87: Final verdict of PTC: none TC_sacch_info_mod-RSL-IPA(100)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod-RSL-IPA(100): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod-RSL(101): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(102): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(103): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(104): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(105): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(106): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(107): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(108): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(109): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(110): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(111): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(112): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(113): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(114): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(115): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(116): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(117): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(118): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(119): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(120): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(121): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_info_mod(122): pass (pass -> pass) MTC@1830904baf87: Test case TC_sacch_info_mod finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass'. Sun Oct 20 05:16:37 UTC 2024 ====== BTS_Tests.TC_sacch_info_mod pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_info_mod.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30148703) Waiting for packet dumper to finish... 1 (prev_count=30148703, count=30149200) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi'. ------ BTS_Tests.TC_sacch_multi ------ Sun Oct 20 05:16:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi.pcap" >/data/BTS_Tests.TC_sacch_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sacch_multi started. TC_sacch_multi-RSL-IPA(123)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sacch_multi-RSL(124)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL-IPA(123)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi-RSL-IPA(123)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sacch_multi-RSL-IPA(123)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sacch_multi-RSL(124)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi-RSL-IPA(123)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi-RSL-IPA(123)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi-RSL(124)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL-IPA(123)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi-RSL(124)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_sacch_multi-RSL-IPA(123)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi(126)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(126)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi(127)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(127)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi(128)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(128)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi(129)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(129)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi(130)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(130)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_multi(131)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(131)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_multi(132)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(132)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi(133)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(133)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi(134)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(134)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi(135)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(135)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_multi(136)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(136)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_multi(137)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(137)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_multi(138)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(138)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_multi(139)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(139)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_multi(140)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(140)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_multi(141)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(141)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_multi(142)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(142)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_multi(143)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(143)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_multi(144)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(144)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_multi(145)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi(145)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1386 TC_sacch_multi-RSL(124)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(125)@1830904baf87: Final verdict of PTC: none TC_sacch_multi-RSL-IPA(123)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sacch_multi-RSL-IPA(123): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi-RSL(124): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(125): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(126): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(127): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(128): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(129): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(130): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(131): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(132): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(133): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(134): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(135): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(136): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(137): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(138): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(139): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(140): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(141): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(142): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(143): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(144): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi(145): pass (pass -> pass) MTC@1830904baf87: Test case TC_sacch_multi finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass'. Sun Oct 20 05:18:08 UTC 2024 ====== BTS_Tests.TC_sacch_multi pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=84907502) Waiting for packet dumper to finish... 1 (prev_count=84907502, count=84907999) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg'. ------ BTS_Tests.TC_sacch_multi_chg ------ Sun Oct 20 05:18:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi_chg.pcap" >/data/BTS_Tests.TC_sacch_multi_chg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sacch_multi_chg started. TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: Established a new IPA connection (conn_id=5) MTC@1830904baf87: 1/4 transceiver(s) connected TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi_chg-RSL(147)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi_chg(149)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(149)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi_chg(150)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(150)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi_chg(151)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(151)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi_chg(152)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(152)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi_chg(153)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(153)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_multi_chg(154)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(154)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_multi_chg(155)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(155)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi_chg(156)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(156)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi_chg(157)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(157)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi_chg(158)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(158)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_multi_chg(159)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(159)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_multi_chg(160)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(160)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_multi_chg(161)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(161)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_multi_chg(162)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_multi_chg(163)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(163)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_multi_chg(164)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(164)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_multi_chg(165)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(165)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_multi_chg(166)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_multi_chg(167)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(167)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_multi_chg(168)@1830904baf87: setverdict(pass): none -> pass TC_sacch_multi_chg(168)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1432 TC_sacch_multi_chg-RSL(147)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(148)@1830904baf87: Final verdict of PTC: none TC_sacch_multi_chg-RSL-IPA(146)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg-RSL-IPA(146): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg-RSL(147): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(148): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(149): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(150): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(151): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(152): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(153): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(154): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(155): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(156): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(157): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(158): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(159): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(160): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(161): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(162): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(163): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(164): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(165): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(166): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(167): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_multi_chg(168): pass (pass -> pass) MTC@1830904baf87: Test case TC_sacch_multi_chg finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass'. Sun Oct 20 05:19:48 UTC 2024 ====== BTS_Tests.TC_sacch_multi_chg pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_multi_chg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=90493915) Waiting for packet dumper to finish... 1 (prev_count=90493915, count=90494412) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act'. ------ BTS_Tests.TC_sacch_chan_act ------ Sun Oct 20 05:19:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act.pcap" >/data/BTS_Tests.TC_sacch_chan_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sacch_chan_act started. TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sacch_chan_act-RSL(170)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sacch_chan_act-RSL(170)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sacch_chan_act-RSL(170)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sacch_chan_act-RSL(170)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act-RSL(170)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_chan_act(172)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(172)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_chan_act(173)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(173)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act(174)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(174)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_chan_act(175)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(175)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act(176)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(176)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_chan_act(177)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(177)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_chan_act(178)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(178)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_chan_act(179)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(179)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_chan_act(180)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(180)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_chan_act(181)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(181)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_chan_act(182)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(182)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act(183)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(183)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_chan_act(184)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(184)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_chan_act(185)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(185)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_chan_act(186)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(186)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_chan_act(187)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(187)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act(188)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(188)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_chan_act(189)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(189)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_chan_act(190)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(190)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_chan_act(191)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act(191)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1478 TC_sacch_chan_act-RSL(170)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(171)@1830904baf87: Final verdict of PTC: none TC_sacch_chan_act-RSL-IPA(169)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act-RSL-IPA(169): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act-RSL(170): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(171): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(172): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(173): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(174): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(175): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(176): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(177): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(178): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(179): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(180): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(181): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(182): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(183): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(184): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(185): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(186): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(187): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(188): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(189): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(190): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act(191): pass (pass -> pass) MTC@1830904baf87: Test case TC_sacch_chan_act finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass'. Sun Oct 20 05:20:23 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_chan_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=26469285) Waiting for packet dumper to finish... 1 (prev_count=26469285, count=26469782) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async'. ------ BTS_Tests.TC_sacch_chan_act_ho_async ------ Sun Oct 20 05:20:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sacch_chan_act_ho_async started. TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: TC_sacch_chan_act_ho_async: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_async(195)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(195)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@1830904baf87: Timeout waiting for SACCH 'A5AA8622357E1BD1300941A78E10B0228B1CD7'O TC_sacch_chan_act_ho_async(195)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act_ho_async: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act_ho_async(196)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(196)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@1830904baf87: Timeout waiting for SACCH 'A5AA8622357E1BD1300941A78E10B0228B1CD7'O TC_sacch_chan_act_ho_async(196)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_async(197)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(197)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@1830904baf87: Timeout waiting for SACCH 'A5AA8622357E1BD1300941A78E10B0228B1CD7'O TC_sacch_chan_act_ho_async(197)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act_ho_async(198)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(198)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@1830904baf87: Timeout waiting for SACCH 'A5AA8622357E1BD1300941A78E10B0228B1CD7'O TC_sacch_chan_act_ho_async(198)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1557 TC_sacch_chan_act_ho_async-RSL(193)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(194)@1830904baf87: Final verdict of PTC: none TC_sacch_chan_act_ho_async-RSL-IPA(192)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL-IPA(192): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL(193): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(194): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act_ho_async(195): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act_ho_async(196): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act_ho_async(197): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act_ho_async(198): pass (pass -> pass) MTC@1830904baf87: Test case TC_sacch_chan_act_ho_async finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass'. Sun Oct 20 05:20:57 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_async pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_async.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30943961) Waiting for packet dumper to finish... 1 (prev_count=30943961, count=30944458) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync'. ------ BTS_Tests.TC_sacch_chan_act_ho_sync ------ Sun Oct 20 05:21:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sacch_chan_act_ho_sync started. TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: TC_sacch_chan_act_ho_sync: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: Timeout waiting for SACCH 'A5AA8622357E1BD1300941A78E10B0228B1CD7'O TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act_ho_sync: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: Timeout waiting for SACCH 'A5AA8622357E1BD1300941A78E10B0228B1CD7'O TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: Timeout waiting for SACCH 'A5AA8622357E1BD1300941A78E10B0228B1CD7'O TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: Timeout waiting for SACCH 'A5AA8622357E1BD1300941A78E10B0228B1CD7'O TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1695 TC_sacch_chan_act_ho_sync-RSL(200)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(201)@1830904baf87: Final verdict of PTC: none TC_sacch_chan_act_ho_sync-RSL-IPA(199)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL-IPA(199): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL(200): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(201): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act_ho_sync(202): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act_ho_sync(203): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act_ho_sync(204): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sacch_chan_act_ho_sync(205): pass (pass -> pass) MTC@1830904baf87: Test case TC_sacch_chan_act_ho_sync finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass'. Sun Oct 20 05:21:49 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_sync pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_sync.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=48957264) Waiting for packet dumper to finish... 1 (prev_count=48957264, count=48962437) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content'. ------ BTS_Tests.TC_rach_content ------ Sun Oct 20 05:21:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content.pcap" >/data/BTS_Tests.TC_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rach_content started. TC_rach_content-RSL-IPA(206)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content-RSL-IPA(206)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rach_content-RSL(207)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content-RSL-IPA(206)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content-RSL-IPA(206)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content-RSL-IPA(206)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL(207)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rach_content-RSL(207)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rach_content-RSL(207)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@1830904baf87: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content-RSL(207)@1830904baf87: Final verdict of PTC: none TC_rach_content-RSL-IPA(206)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(208)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_rach_content-RSL-IPA(206): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rach_content-RSL(207): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(208): none (pass -> pass) MTC@1830904baf87: Test case TC_rach_content finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass'. Sun Oct 20 05:22:09 UTC 2024 ====== BTS_Tests.TC_rach_content pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12516657) Waiting for packet dumper to finish... 1 (prev_count=12516657, count=12521830) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg'. ------ BTS_Tests.TC_rach_content_emerg ------ Sun Oct 20 05:22:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content_emerg.pcap" >/data/BTS_Tests.TC_rach_content_emerg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rach_content_emerg started. TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rach_content_emerg-RSL(210)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL(210)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@1830904baf87: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content_emerg-RSL(210)@1830904baf87: Final verdict of PTC: none TC_rach_content_emerg-RSL-IPA(209)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(211)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_rach_content_emerg-RSL-IPA(209): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rach_content_emerg-RSL(210): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(211): none (pass -> pass) MTC@1830904baf87: Test case TC_rach_content_emerg finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass'. Sun Oct 20 05:22:23 UTC 2024 ====== BTS_Tests.TC_rach_content_emerg pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_content_emerg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11448452) Waiting for packet dumper to finish... 1 (prev_count=11448452, count=11448949) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count'. ------ BTS_Tests.TC_rach_count ------ Sun Oct 20 05:22:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_count.pcap" >/data/BTS_Tests.TC_rach_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rach_count started. TC_rach_count-RSL-IPA(212)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rach_count-RSL(213)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rach_count-RSL(213)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rach_count-RSL(213)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_count-RSL-IPA(212)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_count-RSL-IPA(212)@1830904baf87: Established a new IPA connection (conn_id=5) MTC@1830904baf87: 1/4 transceiver(s) connected TC_rach_count-RSL(213)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_count-RSL-IPA(212)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_count-RSL-IPA(212)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_count-RSL-IPA(212)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_count-RSL(213)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rach_count-RSL-IPA(212)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rach_count-RSL(213)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@1830904baf87: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 32, t2 := 15 } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1790 TC_rach_count-RSL(213)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(214)@1830904baf87: Final verdict of PTC: none TC_rach_count-RSL-IPA(212)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_rach_count-RSL-IPA(212): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rach_count-RSL(213): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(214): none (pass -> pass) MTC@1830904baf87: Test case TC_rach_count finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass'. Sun Oct 20 05:22:41 UTC 2024 ====== BTS_Tests.TC_rach_count pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14566974) Waiting for packet dumper to finish... 1 (prev_count=14566974, count=14567471) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta'. ------ BTS_Tests.TC_rach_max_ta ------ Sun Oct 20 05:22:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_max_ta.pcap" >/data/BTS_Tests.TC_rach_max_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rach_max_ta started. TC_rach_max_ta-RSL-IPA(215)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_max_ta-RSL-IPA(215)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 45, t2 := 11 } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 4, t2 := 19 } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 45, t2 := 24 } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@1830904baf87: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 14, t2 := 14 } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1981 TC_rach_max_ta-RSL(216)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(217)@1830904baf87: Final verdict of PTC: none TC_rach_max_ta-RSL-IPA(215)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_rach_max_ta-RSL-IPA(215): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rach_max_ta-RSL(216): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(217): none (pass -> pass) MTC@1830904baf87: Test case TC_rach_max_ta finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass'. Sun Oct 20 05:22:57 UTC 2024 ====== BTS_Tests.TC_rach_max_ta pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_max_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10328082) Waiting for packet dumper to finish... 1 (prev_count=10328082, count=10328579) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach'. ------ BTS_Tests.TC_ho_rach ------ Sun Oct 20 05:23:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_rach.pcap" >/data/BTS_Tests.TC_ho_rach.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_ho_rach started. TC_ho_rach-RSL-IPA(218)@1830904baf87: Established a new IPA connection (conn_id=2) TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@1830904baf87: Established a new IPA connection (conn_id=3) TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@1830904baf87: Established a new IPA connection (conn_id=4) TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_rach-RSL-IPA(218)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL(219)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL-IPA(218)@1830904baf87: Established a new IPA connection (conn_id=5) TC_ho_rach-RSL(219)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_ho_rach-RSL(219)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_rach-RSL-IPA(218)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_rach-RSL-IPA(218)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL(219)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_rach-RSL-IPA(218)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_rach-RSL(219)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_ho_rach(221)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(221)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(221)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_ho_rach(222)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(222)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(222)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ho_rach(223)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(223)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(223)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_ho_rach(224)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(224)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(224)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_rach(225)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(225)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(225)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_ho_rach(226)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(226)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(226)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_ho_rach(227)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(227)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(227)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_ho_rach(228)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(228)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(228)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_ho_rach(229)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(229)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(229)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_ho_rach(230)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(230)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(230)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_ho_rach(231)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(231)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(231)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ho_rach(232)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(232)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(232)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_ho_rach(233)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(233)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(233)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_ho_rach(234)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(234)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(234)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_ho_rach(235)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(235)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(235)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_ho_rach(236)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(236)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(236)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ho_rach(237)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(237)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(237)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_ho_rach(238)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(238)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(238)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_ho_rach(239)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(239)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(239)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_ho_rach(240)@1830904baf87: setverdict(pass): none -> pass TC_ho_rach(240)@1830904baf87: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(240)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":2050 TC_ho_rach-RSL(219)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(220)@1830904baf87: Final verdict of PTC: none TC_ho_rach-RSL-IPA(218)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_ho_rach-RSL-IPA(218): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ho_rach-RSL(219): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(220): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(221): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(222): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(223): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(224): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(225): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(226): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(227): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(228): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(229): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(230): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(231): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(232): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(233): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(234): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(235): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(236): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(237): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(238): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(239): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ho_rach(240): pass (pass -> pass) MTC@1830904baf87: Test case TC_ho_rach finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass'. Sun Oct 20 05:23:05 UTC 2024 ====== BTS_Tests.TC_ho_rach pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ho_rach.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4743745) Waiting for packet dumper to finish... 1 (prev_count=4743745, count=4744370) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info'. ------ BTS_Tests.TC_ho_physical_info ------ Sun Oct 20 05:23:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_physical_info.pcap" >/data/BTS_Tests.TC_ho_physical_info.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_ho_physical_info started. TC_ho_physical_info-RSL-IPA(241)@1830904baf87: Established a new IPA connection (conn_id=2) TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@1830904baf87: Established a new IPA connection (conn_id=3) TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: Established a new IPA connection (conn_id=4) TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: Established a new IPA connection (conn_id=5) TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL(242)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: TC_ho_physical_info: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_physical_info(244)@1830904baf87: setverdict(pass): none -> pass TC_ho_physical_info(244)@1830904baf87: setverdict(fail): pass -> fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }", new component reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info(244)@1830904baf87: Sending handover Access Burst TC_ho_physical_info(244)@1830904baf87: Rx RR Physical Information: { discriminator := '0110'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { rrm := { physicalInformation := { messageType := '00101101'B, timingAdvance := '02'O } } } } TC_ho_physical_info(244)@1830904baf87: setverdict(fail): fail -> fail reason: "Timeout waiting for RR Physical Information", component reason not changed TC_ho_physical_info(244)@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":2115 MC@1830904baf87: Test Component 244 has requested to stop MTC. Terminating current testcase execution. TC_ho_physical_info-RSL(242)@1830904baf87: Final verdict of PTC: none TC_ho_physical_info(244)@1830904baf87: Final verdict of PTC: fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" IPA-CTRL-CLI-IPA(243)@1830904baf87: Final verdict of PTC: none TC_ho_physical_info-RSL-IPA(241)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_ho_physical_info-RSL-IPA(241): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ho_physical_info-RSL(242): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(243): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ho_physical_info(244): fail (none -> fail) reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" MTC@1830904baf87: Test case TC_ho_physical_info finished. Verdict: fail reason: Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail'. Sun Oct 20 05:23:13 UTC 2024 ------ BTS_Tests.TC_ho_physical_info fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ho_physical_info.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4208382) Waiting for packet dumper to finish... 1 (prev_count=4208382, count=4208879) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0'. ------ BTS_Tests.TC_rach_load_idle_thresh0 ------ Sun Oct 20 05:23:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap" >/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rach_load_idle_thresh0 started. TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1837 TC_rach_load_idle_thresh0-RSL(246)@1830904baf87: Final verdict of PTC: none TC_rach_load_idle_thresh0-RSL-IPA(245)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(247)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_rach_load_idle_thresh0-RSL-IPA(245): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rach_load_idle_thresh0-RSL(246): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(247): none (pass -> pass) MTC@1830904baf87: Test case TC_rach_load_idle_thresh0 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass'. Sun Oct 20 05:23:29 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_thresh0 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_load_idle_thresh0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5466775) Waiting for packet dumper to finish... 1 (prev_count=5466775, count=5471948) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh'. ------ BTS_Tests.TC_rach_load_idle_below_thresh ------ Sun Oct 20 05:23:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap" >/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rach_load_idle_below_thresh started. TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1862 TC_rach_load_idle_below_thresh-RSL(249)@1830904baf87: Final verdict of PTC: none TC_rach_load_idle_below_thresh-RSL-IPA(248)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(250)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL-IPA(248): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL(249): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(250): none (pass -> pass) MTC@1830904baf87: Test case TC_rach_load_idle_below_thresh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass'. Sun Oct 20 05:23:40 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_below_thresh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_load_idle_below_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4156510) Waiting for packet dumper to finish... 1 (prev_count=4156510, count=4157007) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count'. ------ BTS_Tests.TC_rach_load_count ------ Sun Oct 20 05:23:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_count.pcap" >/data/BTS_Tests.TC_rach_load_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rach_load_count started. TC_rach_load_count-RSL-IPA(251)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rach_load_count-RSL(252)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL-IPA(251)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_count-RSL-IPA(251)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_count-RSL-IPA(251)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_count-RSL(252)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_count-RSL-IPA(251)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL(252)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rach_load_count-RSL-IPA(251)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rach_load_count-RSL(252)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@1830904baf87: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 32, t2 := 15 } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1926 TC_rach_load_count-RSL(252)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(253)@1830904baf87: Final verdict of PTC: none TC_rach_load_count-RSL-IPA(251)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_rach_load_count-RSL-IPA(251): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rach_load_count-RSL(252): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(253): none (pass -> pass) MTC@1830904baf87: Test case TC_rach_load_count finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass'. Sun Oct 20 05:24:05 UTC 2024 ====== BTS_Tests.TC_rach_load_count pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_load_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17329670) Waiting for packet dumper to finish... 1 (prev_count=17329670, count=17330167) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf'. ------ BTS_Tests.TC_meas_res_speech_tchf ------ Sun Oct 20 05:24:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_meas_res_speech_tchf started. TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf-RSL(255)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_speech_tchf(257)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf-RSL(255)@1830904baf87: Final verdict of PTC: none TC_meas_res_speech_tchf-RSL-IPA(254)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(256)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchf-RSL-IPA(254): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchf-RSL(255): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(256): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchf(257): pass (none -> pass) MTC@1830904baf87: Test case TC_meas_res_speech_tchf finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass'. Sun Oct 20 05:24:19 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11204124) Waiting for packet dumper to finish... 1 (prev_count=11204124, count=11209297) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch'. ------ BTS_Tests.TC_meas_res_speech_tchf_facch ------ Sun Oct 20 05:24:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_meas_res_speech_tchf_facch started. TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_speech_tchf_facch(261)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf_facch-RSL(259)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(260)@1830904baf87: Final verdict of PTC: none TC_meas_res_speech_tchf_facch-RSL-IPA(258)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL-IPA(258): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL(259): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(260): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchf_facch(261): pass (none -> pass) MTC@1830904baf87: Test case TC_meas_res_speech_tchf_facch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass'. Sun Oct 20 05:24:32 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_facch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11199729) Waiting for packet dumper to finish... 1 (prev_count=11199729, count=11200226) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh'. ------ BTS_Tests.TC_meas_res_speech_tchh ------ Sun Oct 20 05:24:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_meas_res_speech_tchh started. TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_speech_tchh(265)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(265)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(265)@1830904baf87: Final verdict of PTC: pass TC_meas_res_speech_tchh(266)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_speech_tchh(266)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(266)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(266)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3655 TC_meas_res_speech_tchh-RSL(263)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(264)@1830904baf87: Final verdict of PTC: none TC_meas_res_speech_tchh-RSL-IPA(262)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh-RSL-IPA(262): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh-RSL(263): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(264): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh(265): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh(266): pass (pass -> pass) MTC@1830904baf87: Test case TC_meas_res_speech_tchh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh pass'. Sun Oct 20 05:24:54 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19684231) Waiting for packet dumper to finish... 1 (prev_count=19684231, count=19689841) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch'. ------ BTS_Tests.TC_meas_res_speech_tchh_facch ------ Sun Oct 20 05:24:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_meas_res_speech_tchh_facch started. TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(270)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(270)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(270)@1830904baf87: Final verdict of PTC: pass TC_meas_res_speech_tchh_facch(271)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(271)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(271)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(271)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3655 TC_meas_res_speech_tchh_facch-RSL(268)@1830904baf87: Final verdict of PTC: none TC_meas_res_speech_tchh_facch-RSL-IPA(267)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(269)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL-IPA(267): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL(268): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(269): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh_facch(270): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh_facch(271): pass (pass -> pass) MTC@1830904baf87: Test case TC_meas_res_speech_tchh_facch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch pass'. Sun Oct 20 05:25:16 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_facch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19698504) Waiting for packet dumper to finish... 1 (prev_count=19698504, count=19699001) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256'. ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 ------ Sun Oct 20 05:25:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_meas_res_speech_tchh_toa256 started. TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(275)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(275)@1830904baf87: Final verdict of PTC: pass TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(276)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(276)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3680 TC_meas_res_speech_tchh_toa256-RSL(273)@1830904baf87: Final verdict of PTC: none TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(274)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL-IPA(272): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL(273): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(274): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh_toa256(275): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh_toa256(276): pass (pass -> pass) MTC@1830904baf87: Test case TC_meas_res_speech_tchh_toa256 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 pass'. Sun Oct 20 05:25:37 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_toa256 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19690729) Waiting for packet dumper to finish... 1 (prev_count=19690729, count=19691226) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf'. ------ BTS_Tests.TC_meas_res_sign_tchf ------ Sun Oct 20 05:25:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchf.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_meas_res_sign_tchf started. TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(278)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(280)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(280)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_tchf(281)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(281)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(281)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(281)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(281)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_tchf(282)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(282)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(282)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(282)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(282)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_tchf(283)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(283)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(283)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(283)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(283)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3694 TC_meas_res_sign_tchf-RSL(278)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(279)@1830904baf87: Final verdict of PTC: none TC_meas_res_sign_tchf-RSL-IPA(277)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchf-RSL-IPA(277): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchf-RSL(278): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(279): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchf(280): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchf(281): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchf(282): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchf(283): pass (pass -> pass) MTC@1830904baf87: Test case TC_meas_res_sign_tchf finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf pass'. Sun Oct 20 05:26:15 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchf pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_sign_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=38173887) Waiting for packet dumper to finish... 1 (prev_count=38173887, count=38174384) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh'. ------ BTS_Tests.TC_meas_res_sign_tchh ------ Sun Oct 20 05:26:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_meas_res_sign_tchh started. TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(285)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(287)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(287)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_tchh(288)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(288)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(288)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(288)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(288)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3707 TC_meas_res_sign_tchh-RSL(285)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(286)@1830904baf87: Final verdict of PTC: none TC_meas_res_sign_tchh-RSL-IPA(284)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchh-RSL-IPA(284): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchh-RSL(285): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(286): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchh(287): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchh(288): pass (pass -> pass) MTC@1830904baf87: Test case TC_meas_res_sign_tchh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh pass'. Sun Oct 20 05:26:37 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_sign_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18344330) Waiting for packet dumper to finish... 1 (prev_count=18344330, count=18344827) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4'. ------ BTS_Tests.TC_meas_res_sign_sdcch4 ------ Sun Oct 20 05:26:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_meas_res_sign_sdcch4 started. TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(292)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(292)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(293)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(293)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(293)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(293)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(293)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(294)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(294)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(294)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(294)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(294)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(295)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(295)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(295)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(295)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(295)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3720 TC_meas_res_sign_sdcch4-RSL(290)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(291)@1830904baf87: Final verdict of PTC: none TC_meas_res_sign_sdcch4-RSL-IPA(289)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL-IPA(289): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL(290): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(291): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch4(292): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch4(293): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch4(294): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch4(295): pass (pass -> pass) MTC@1830904baf87: Test case TC_meas_res_sign_sdcch4 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass'. Sun Oct 20 05:27:15 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch4 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=31257928) Waiting for packet dumper to finish... 1 (prev_count=31257928, count=31258425) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8'. ------ BTS_Tests.TC_meas_res_sign_sdcch8 ------ Sun Oct 20 05:27:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_meas_res_sign_sdcch8 started. TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(299)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(299)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(300)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(300)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(300)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(300)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(300)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(301)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(301)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(301)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(301)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(301)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(302)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(302)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(302)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(302)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(302)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(303)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(303)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(303)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(303)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(303)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(304)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(304)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(304)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(304)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(304)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(305)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(305)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(305)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(305)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(305)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(306)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(306)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(306)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(306)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(306)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3733 TC_meas_res_sign_sdcch8-RSL(297)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(298)@1830904baf87: Final verdict of PTC: none TC_meas_res_sign_sdcch8-RSL-IPA(296)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL-IPA(296): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL(297): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(298): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch8(299): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch8(300): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch8(301): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch8(302): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch8(303): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch8(304): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch8(305): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_sdcch8(306): pass (pass -> pass) MTC@1830904baf87: Test case TC_meas_res_sign_sdcch8 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 pass'. Sun Oct 20 05:28:25 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch8 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch8.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=60665738) Waiting for packet dumper to finish... 1 (prev_count=60665738, count=60666235) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256'. ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 ------ Sun Oct 20 05:28:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_meas_res_sign_tchh_toa256 started. TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(310)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(310)@1830904baf87: Final verdict of PTC: pass TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(311)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(311)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3747 TC_meas_res_sign_tchh_toa256-RSL(308)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(309)@1830904baf87: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL-IPA(307): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL(308): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(309): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchh_toa256(310): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_meas_res_sign_tchh_toa256(311): pass (pass -> pass) MTC@1830904baf87: Test case TC_meas_res_sign_tchh_toa256 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 pass'. Sun Oct 20 05:28:51 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchh_toa256 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_sign_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19400801) Waiting for packet dumper to finish... 1 (prev_count=19400801, count=19401298) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchf_sapi3 ------ Sun Oct 20 05:28:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_meas_res_speech_tchf_sapi3 started. TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(315)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3812 TC_meas_res_speech_tchf_sapi3-RSL(313)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(314)@1830904baf87: Final verdict of PTC: none TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL-IPA(312): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL(313): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(314): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchf_sapi3(315): pass (none -> pass) MTC@1830904baf87: Test case TC_meas_res_speech_tchf_sapi3 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass'. Sun Oct 20 05:29:05 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11224042) Waiting for packet dumper to finish... 1 (prev_count=11224042, count=11224539) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchh_sapi3 ------ Sun Oct 20 05:29:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_meas_res_speech_tchh_sapi3 started. TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: setverdict(pass): none -> pass TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(319)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3827 TC_meas_res_speech_tchh_sapi3-RSL(317)@1830904baf87: Final verdict of PTC: none TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(318)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL-IPA(316): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL(317): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(318): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_meas_res_speech_tchh_sapi3(319): pass (none -> pass) MTC@1830904baf87: Test case TC_meas_res_speech_tchh_sapi3 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass'. Sun Oct 20 05:29:19 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10773507) Waiting for packet dumper to finish... 1 (prev_count=10773507, count=10778680) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch'. ------ BTS_Tests.TC_tx_power_start_ramp_up_bcch ------ Sun Oct 20 05:29:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap" >/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_tx_power_start_ramp_up_bcch started. TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: Established a new IPA connection (conn_id=2) TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: Established a new IPA connection (conn_id=3) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: Established a new IPA connection (conn_id=4) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: Established a new IPA connection (conn_id=5) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=20 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Reached full power, wating a bit more until success TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Tx power increased during ramp up: 0 -> 30 TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: setverdict(pass): none -> pass TC_tx_power_start_ramp_up_bcch(323)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":2959 TC_tx_power_start_ramp_up_bcch-RSL(321)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(322)@1830904baf87: Final verdict of PTC: none TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL-IPA(320): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL(321): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(322): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_tx_power_start_ramp_up_bcch(323): pass (none -> pass) MTC@1830904baf87: Test case TC_tx_power_start_ramp_up_bcch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass'. Sun Oct 20 05:29:31 UTC 2024 ====== BTS_Tests.TC_tx_power_start_ramp_up_bcch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_tx_power_start_ramp_up_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7679868) Waiting for packet dumper to finish... 1 (prev_count=7679868, count=7680365) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch'. ------ BTS_Tests.TC_tx_power_down_bcch ------ Sun Oct 20 05:29:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_down_bcch.pcap" >/data/BTS_Tests.TC_tx_power_down_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_tx_power_down_bcch started. TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: Established a new IPA connection (conn_id=2) TC_tx_power_down_bcch-RSL(325)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: Established a new IPA connection (conn_id=3) TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: Established a new IPA connection (conn_id=4) TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: Established a new IPA connection (conn_id=5) TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(325)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(325)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL(325)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(325)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(325)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(325)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=0 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=0 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=0 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=0 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=0 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=0 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=8 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=8 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=8 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=8 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=16 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=16 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=16 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=16 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=18 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=24 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=24 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=24 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=24 TC_tx_power_down_bcch(327)@1830904baf87: Received rx_level=30 TC_tx_power_down_bcch(327)@1830904baf87: Reached nominal level 30, shutting down OML link TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: IPA: Closed TC_tx_power_down_bcch-RSL(325)@1830904baf87: Lost IPA connection! (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(324)@1830904baf87: Final verdict of PTC: none TC_tx_power_down_bcch(327)@1830904baf87: setverdict(pass): none -> pass TC_tx_power_down_bcch(327)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":2986 TC_tx_power_down_bcch-RSL(325)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(326)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_tx_power_down_bcch-RSL-IPA(324): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_tx_power_down_bcch-RSL(325): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(326): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_tx_power_down_bcch(327): pass (none -> pass) MTC@1830904baf87: Test case TC_tx_power_down_bcch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass'. Sun Oct 20 05:29:46 UTC 2024 ====== BTS_Tests.TC_tx_power_down_bcch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_tx_power_down_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7012244) Waiting for packet dumper to finish... 1 (prev_count=7012244, count=7012741) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change'. ------ BTS_Tests.TC_tx_power_ramp_adm_state_change ------ Sun Oct 20 05:29:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap" >/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_tx_power_ramp_adm_state_change started. TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: Established a new IPA connection (conn_id=2) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: Established a new IPA connection (conn_id=3) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: Established a new IPA connection (conn_id=4) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: Established a new IPA connection (conn_id=5) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=18 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Reached nominal level 30, changing ADM state to LOCKED TC_tx_power_ramp_adm_state_change(331)@1830904baf87: ADM STATE UNLOCKED->LOCKED TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Tx power decreased during ramp down: 30 -> 0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: setverdict(pass): none -> pass reason: "Didn't receive data_ind while in rf_locked state.", new component reason: "Didn't receive data_ind while in rf_locked state." TC_tx_power_ramp_adm_state_change(331)@1830904baf87: ADM STATE LOCKED->UNLOCKED TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Reached full power, wating a bit more until success TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Tx power increased during ramp up: 0 -> 30 TC_tx_power_ramp_adm_state_change(331)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tx_power_ramp_adm_state_change(331)@1830904baf87: Final verdict of PTC: pass reason: "Didn't receive data_ind while in rf_locked state." MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3040 TC_tx_power_ramp_adm_state_change-RSL(329)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(330)@1830904baf87: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL-IPA(328): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL(329): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(330): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_tx_power_ramp_adm_state_change(331): pass (none -> pass) reason: "Didn't receive data_ind while in rf_locked state." MTC@1830904baf87: Test case TC_tx_power_ramp_adm_state_change finished. Verdict: pass reason: Didn't receive data_ind while in rf_locked state. MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change pass'. Sun Oct 20 05:30:12 UTC 2024 ====== BTS_Tests.TC_tx_power_ramp_adm_state_change pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_tx_power_ramp_adm_state_change.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18035284) Waiting for packet dumper to finish... 1 (prev_count=18035284, count=18035781) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass'. ------ BTS_Tests.TC_rsl_bs_pwr_static_ass ------ Sun Oct 20 05:30:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_bs_pwr_static_ass started. TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@1830904baf87: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_ass(335)@1830904baf87: SACCH received: '00000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_rsl_bs_pwr_static_ass(335)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_rsl_bs_pwr_static_ass(335)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_rsl_bs_pwr_static_ass(335)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(335)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(335)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3121 TC_rsl_bs_pwr_static_ass-RSL(333)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(334)@1830904baf87: Final verdict of PTC: none TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL-IPA(332): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL(333): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(334): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_bs_pwr_static_ass(335): pass (none -> pass) MTC@1830904baf87: Test case TC_rsl_bs_pwr_static_ass finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass'. Sun Oct 20 05:30:18 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_ass pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_ass.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2462940) Waiting for packet dumper to finish... 1 (prev_count=2462940, count=2463437) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control'. ------ BTS_Tests.TC_rsl_bs_pwr_static_power_control ------ Sun Oct 20 05:30:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_bs_pwr_static_power_control started. TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@1830904baf87: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_power_control(339)@1830904baf87: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_rsl_bs_pwr_static_power_control(339)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_rsl_bs_pwr_static_power_control(339)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_rsl_bs_pwr_static_power_control(339)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(339)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(339)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3135 TC_rsl_bs_pwr_static_power_control-RSL(337)@1830904baf87: Final verdict of PTC: none TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(338)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL-IPA(336): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL(337): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(338): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_bs_pwr_static_power_control(339): pass (none -> pass) MTC@1830904baf87: Test case TC_rsl_bs_pwr_static_power_control finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass'. Sun Oct 20 05:30:25 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_power_control pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_power_control.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2461892) Waiting for packet dumper to finish... 1 (prev_count=2461892, count=2462389) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl'. ------ BTS_Tests.TC_rsl_ms_pwr_ctrl ------ Sun Oct 20 05:30:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_ms_pwr_ctrl started. TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl(343)@1830904baf87: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(343)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(343)@1830904baf87: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(344)@1830904baf87: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(344)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(344)@1830904baf87: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(345)@1830904baf87: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(345)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(345)@1830904baf87: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(346)@1830904baf87: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(346)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(346)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3894 TC_rsl_ms_pwr_ctrl-RSL(341)@1830904baf87: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(342)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL-IPA(340): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL(341): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(342): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_ctrl(343): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_ctrl(344): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_ctrl(345): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_ctrl(346): pass (pass -> pass) MTC@1830904baf87: Test case TC_rsl_ms_pwr_ctrl finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass'. Sun Oct 20 05:31:32 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_ctrl pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_ctrl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=71251229) Waiting for packet dumper to finish... 1 (prev_count=71251229, count=71251726) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active ------ Sun Oct 20 05:31:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_ms_pwr_dyn_active started. TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(350)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3600 TC_rsl_ms_pwr_dyn_active-RSL(348)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(349)@1830904baf87: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL-IPA(347): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL(348): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(349): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_active(350): pass (none -> pass) MTC@1830904baf87: Test case TC_rsl_ms_pwr_dyn_active finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass'. Sun Oct 20 05:31:53 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14689810) Waiting for packet dumper to finish... 1 (prev_count=14689810, count=14690307) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active2 ------ Sun Oct 20 05:31:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_ms_pwr_dyn_active2 started. TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: Established a new IPA connection (conn_id=5) MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(354)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3615 TC_rsl_ms_pwr_dyn_active2-RSL(352)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(353)@1830904baf87: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL(352): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(353): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2(354): pass (none -> pass) MTC@1830904baf87: Test case TC_rsl_ms_pwr_dyn_active2 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass'. Sun Oct 20 05:32:09 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active2.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13626499) Waiting for packet dumper to finish... 1 (prev_count=13626499, count=13631672) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_up ------ Sun Oct 20 05:32:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_ms_pwr_dyn_up started. TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: setverdict(pass): pass -> pass reason: "Power level in L1 header reduced as expected", component reason not changed TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_up(358)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3555 TC_rsl_ms_pwr_dyn_up-RSL(356)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(357)@1830904baf87: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL-IPA(355): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL(356): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(357): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_up(358): pass (none -> pass) MTC@1830904baf87: Test case TC_rsl_ms_pwr_dyn_up finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass'. Sun Oct 20 05:32:34 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_up pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_up.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24026242) Waiting for packet dumper to finish... 1 (prev_count=24026242, count=24031852) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_down ------ Sun Oct 20 05:32:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_ms_pwr_dyn_down started. TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: setverdict(pass): pass -> pass reason: "Power level increased to lowest power value", component reason not changed TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_down(362)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3585 TC_rsl_ms_pwr_dyn_down-RSL(360)@1830904baf87: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(361)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL-IPA(359): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL(360): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(361): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_down(362): pass (none -> pass) MTC@1830904baf87: Test case TC_rsl_ms_pwr_dyn_down finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass'. Sun Oct 20 05:32:50 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_down pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_down.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13623257) Waiting for packet dumper to finish... 1 (prev_count=13623257, count=13623754) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown ------ Sun Oct 20 05:32:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_ms_pwr_dyn_ass_updown started. TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: setverdict(pass): pass -> pass reason: "Power level in L1 decreased/increased as expected", component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(366)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3540 IPA-CTRL-CLI-IPA(365)@1830904baf87: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@1830904baf87: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL(364): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(365): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown(366): pass (none -> pass) MTC@1830904baf87: Test case TC_rsl_ms_pwr_dyn_ass_updown finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass'. Sun Oct 20 05:33:02 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9374784) Waiting for packet dumper to finish... 1 (prev_count=9374784, count=9375281) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_max ------ Sun Oct 20 05:33:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_ms_pwr_dyn_max started. TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_max(370)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3570 TC_rsl_ms_pwr_dyn_max-RSL(368)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(369)@1830904baf87: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL-IPA(367): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL(368): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(369): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_ms_pwr_dyn_max(370): pass (none -> pass) MTC@1830904baf87: Test case TC_rsl_ms_pwr_dyn_max finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass'. Sun Oct 20 05:33:18 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_max pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_max.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13202242) Waiting for packet dumper to finish... 1 (prev_count=13202242, count=13202739) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr'. ------ BTS_Tests.TC_rsl_chan_initial_ms_pwr ------ Sun Oct 20 05:33:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_chan_initial_ms_pwr started. TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_chan_initial_ms_pwr(374)@1830904baf87: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(374)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(374)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(374)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(374)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rsl_chan_initial_ms_pwr(375)@1830904baf87: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(375)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(375)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(375)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(375)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_chan_initial_ms_pwr(376)@1830904baf87: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(376)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(376)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(376)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(376)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rsl_chan_initial_ms_pwr(377)@1830904baf87: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(377)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(377)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(377)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(377)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_chan_initial_ms_pwr-RSL(372)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(373)@1830904baf87: Final verdict of PTC: none TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL-IPA(371): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL(372): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(373): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(374): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(375): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(376): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(377): pass (pass -> pass) MTC@1830904baf87: Test case TC_rsl_chan_initial_ms_pwr finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass'. Sun Oct 20 05:33:25 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ms_pwr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_chan_initial_ms_pwr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3613515) Waiting for packet dumper to finish... 1 (prev_count=3613515, count=3614012) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta'. ------ BTS_Tests.TC_rsl_chan_initial_ta ------ Sun Oct 20 05:33:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_chan_initial_ta started. TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(381)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(381)@1830904baf87: setverdict(pass): none -> pass TC_rsl_chan_initial_ta(381)@1830904baf87: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 16 } TC_rsl_chan_initial_ta(381)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(381)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(381)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":3939 TC_rsl_chan_initial_ta-RSL(379)@1830904baf87: Final verdict of PTC: none TC_rsl_chan_initial_ta-RSL-IPA(378)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(380)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rsl_chan_initial_ta-RSL-IPA(378): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_chan_initial_ta-RSL(379): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(380): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_chan_initial_ta(381): pass (none -> pass) MTC@1830904baf87: Test case TC_rsl_chan_initial_ta finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass'. Sun Oct 20 05:33:31 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ta pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_chan_initial_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2342803) Waiting for packet dumper to finish... 1 (prev_count=2342803, count=2343300) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr'. ------ BTS_Tests.TC_rsl_modify_encr ------ Sun Oct 20 05:33:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_modify_encr.pcap" >/data/BTS_Tests.TC_rsl_modify_encr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_modify_encr started. TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(383)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_modify_encr-RSL(383)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(383)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL(383)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(383)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(383)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_modify_encr-RSL(383)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(383)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rsl_modify_encr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_modify_encr(385)@1830904baf87: setverdict(pass): none -> pass TC_rsl_modify_encr(385)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(385)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_rsl_modify_encr(385)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rsl_modify_encr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rsl_modify_encr(386)@1830904baf87: setverdict(pass): none -> pass TC_rsl_modify_encr(386)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(386)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_rsl_modify_encr(386)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_modify_encr(387)@1830904baf87: setverdict(pass): none -> pass TC_rsl_modify_encr(387)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_rsl_modify_encr(387)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rsl_modify_encr(388)@1830904baf87: setverdict(pass): none -> pass TC_rsl_modify_encr(388)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_rsl_modify_encr(388)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_modify_encr-RSL(383)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(384)@1830904baf87: Final verdict of PTC: none TC_rsl_modify_encr-RSL-IPA(382)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rsl_modify_encr-RSL-IPA(382): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_modify_encr-RSL(383): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(384): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rsl_modify_encr(385): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_modify_encr(386): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_modify_encr(387): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_modify_encr(388): pass (pass -> pass) MTC@1830904baf87: Test case TC_rsl_modify_encr finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass'. Sun Oct 20 05:33:50 UTC 2024 ====== BTS_Tests.TC_rsl_modify_encr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_modify_encr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14420371) Waiting for packet dumper to finish... 1 (prev_count=14420371, count=14425544) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind'. ------ BTS_Tests.TC_rsl_rf_resource_ind ------ Sun Oct 20 05:33:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap" >/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_rf_resource_ind started. TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: Established a new IPA connection (conn_id=5) MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7811 TC_rsl_rf_resource_ind-RSL(390)@1830904baf87: Final verdict of PTC: none TC_rsl_rf_resource_ind-RSL-IPA(389)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(391)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_rsl_rf_resource_ind-RSL-IPA(389): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_rf_resource_ind-RSL(390): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(391): none (pass -> pass) MTC@1830904baf87: Test case TC_rsl_rf_resource_ind finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass'. Sun Oct 20 05:34:09 UTC 2024 ====== BTS_Tests.TC_rsl_rf_resource_ind pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_rf_resource_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13948734) Waiting for packet dumper to finish... 1 (prev_count=13948734, count=13953907) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit'. ------ BTS_Tests.TC_conn_fail_crit ------ Sun Oct 20 05:34:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_conn_fail_crit.pcap" >/data/BTS_Tests.TC_conn_fail_crit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_conn_fail_crit started. TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: Established a new IPA connection (conn_id=2) TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(393)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: Established a new IPA connection (conn_id=3) TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(393)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: Established a new IPA connection (conn_id=4) TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(393)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: Established a new IPA connection (conn_id=5) TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(393)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_conn_fail_crit-RSL(393)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(393)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL(393)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(393)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit(395)@1830904baf87: setverdict(pass): none -> pass TC_conn_fail_crit(395)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(395)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(395)@1830904baf87: Final verdict of PTC: pass TC_conn_fail_crit-RSL(393)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(394)@1830904baf87: Final verdict of PTC: none TC_conn_fail_crit-RSL-IPA(392)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_conn_fail_crit-RSL-IPA(392): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_conn_fail_crit-RSL(393): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(394): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_conn_fail_crit(395): pass (none -> pass) MTC@1830904baf87: Test case TC_conn_fail_crit finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass'. Sun Oct 20 05:34:31 UTC 2024 ====== BTS_Tests.TC_conn_fail_crit pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_conn_fail_crit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16989254) Waiting for packet dumper to finish... 1 (prev_count=16989254, count=16989751) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent'. ------ BTS_Tests.TC_paging_imsi_80percent ------ Sun Oct 20 05:34:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_80percent.pcap" >/data/BTS_Tests.TC_paging_imsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_paging_imsi_80percent started. TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: Established a new IPA connection (conn_id=2) TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: Established a new IPA connection (conn_id=3) TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: Established a new IPA connection (conn_id=4) TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: Established a new IPA connection (conn_id=5) TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(397)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_80percent-RSL(397)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(397)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(397)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 2/4 RF Resource Indication(s) received MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_80percent-RSL(397)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: pch_blocks_total=271 pch_blocks_per_sec=13.594771 interval=0.073558 MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: num_paging_sent=271 rcvd_msgs=171 rcvd_ids=271 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":4329 IPA-CTRL-CLI-IPA(398)@1830904baf87: Final verdict of PTC: none TC_paging_imsi_80percent-RSL(397)@1830904baf87: Final verdict of PTC: none TC_paging_imsi_80percent-RSL-IPA(396)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_paging_imsi_80percent-RSL-IPA(396): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_paging_imsi_80percent-RSL(397): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(398): none (pass -> pass) MTC@1830904baf87: Test case TC_paging_imsi_80percent finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass'. Sun Oct 20 05:34:58 UTC 2024 ====== BTS_Tests.TC_paging_imsi_80percent pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_paging_imsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21039619) Waiting for packet dumper to finish... 1 (prev_count=21039619, count=21040116) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent'. ------ BTS_Tests.TC_paging_tmsi_80percent ------ Sun Oct 20 05:35:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_80percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_paging_tmsi_80percent started. TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: Established a new IPA connection (conn_id=2) TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: Established a new IPA connection (conn_id=3) TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: Established a new IPA connection (conn_id=4) TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: Established a new IPA connection (conn_id=5) TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(400)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: pch_blocks_total=543 pch_blocks_per_sec=27.189542 interval=0.036779 MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: num_paging_sent=543 rcvd_msgs=173 rcvd_ids=543 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":4356 TC_paging_tmsi_80percent-RSL(400)@1830904baf87: Final verdict of PTC: none TC_paging_tmsi_80percent-RSL-IPA(399)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(401)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_paging_tmsi_80percent-RSL-IPA(399): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_paging_tmsi_80percent-RSL(400): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(401): none (pass -> pass) MTC@1830904baf87: Test case TC_paging_tmsi_80percent finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass'. Sun Oct 20 05:35:25 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_80percent pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_paging_tmsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21423835) Waiting for packet dumper to finish... 1 (prev_count=21423835, count=21424332) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent'. ------ BTS_Tests.TC_paging_imsi_200percent ------ Sun Oct 20 05:35:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_paging_imsi_200percent started. TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(403)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(403)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(403)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(403)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(403)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(403)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(403)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(403)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: num_paging_sent=679 rcvd_msgs=271 rcvd_ids=538 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":4385 TC_paging_imsi_200percent-RSL(403)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(404)@1830904baf87: Final verdict of PTC: none TC_paging_imsi_200percent-RSL-IPA(402)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_paging_imsi_200percent-RSL-IPA(402): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_paging_imsi_200percent-RSL(403): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(404): none (pass -> pass) MTC@1830904baf87: Test case TC_paging_imsi_200percent finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass'. Sun Oct 20 05:36:04 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_paging_imsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32502984) Waiting for packet dumper to finish... 1 (prev_count=32502984, count=32508594) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent'. ------ BTS_Tests.TC_paging_tmsi_200percent ------ Sun Oct 20 05:36:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_200percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_paging_tmsi_200percent started. TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: Established a new IPA connection (conn_id=2) TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: Established a new IPA connection (conn_id=3) TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: Established a new IPA connection (conn_id=4) TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: Established a new IPA connection (conn_id=5) TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(406)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: pch_blocks_total=1359 pch_blocks_per_sec=67.973856 interval=0.014712 MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: num_paging_sent=1359 rcvd_msgs=220 rcvd_ids=877 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":4414 TC_paging_tmsi_200percent-RSL(406)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(407)@1830904baf87: Final verdict of PTC: none TC_paging_tmsi_200percent-RSL-IPA(405)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_paging_tmsi_200percent-RSL-IPA(405): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_paging_tmsi_200percent-RSL(406): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(407): none (pass -> pass) MTC@1830904baf87: Test case TC_paging_tmsi_200percent finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass'. Sun Oct 20 05:36:37 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_200percent pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_paging_tmsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=29145695) Waiting for packet dumper to finish... 1 (prev_count=29145695, count=29146192) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error'. ------ BTS_Tests.TC_rsl_protocol_error ------ Sun Oct 20 05:36:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_protocol_error.pcap" >/data/BTS_Tests.TC_rsl_protocol_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_protocol_error started. TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(409)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL(409)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_protocol_error-RSL(409)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(409)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(409)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_protocol_error-RSL(409)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(409)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_protocol_error-RSL(409)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(409)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_rsl_protocol_error-RSL(409)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(410)@1830904baf87: Final verdict of PTC: none TC_rsl_protocol_error-RSL-IPA(408)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_rsl_protocol_error-RSL-IPA(408): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_protocol_error-RSL(409): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(410): none (pass -> pass) MTC@1830904baf87: Test case TC_rsl_protocol_error finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass'. Sun Oct 20 05:36:43 UTC 2024 ====== BTS_Tests.TC_rsl_protocol_error pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_protocol_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1871756) Waiting for packet dumper to finish... 1 (prev_count=1871756, count=1872253) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error'. ------ BTS_Tests.TC_rsl_mand_ie_error ------ Sun Oct 20 05:36:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_mand_ie_error.pcap" >/data/BTS_Tests.TC_rsl_mand_ie_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_mand_ie_error started. TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_mand_ie_error-RSL(412)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(412)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(412)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(412)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_rsl_mand_ie_error-RSL(412)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(413)@1830904baf87: Final verdict of PTC: none TC_rsl_mand_ie_error-RSL-IPA(411)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_rsl_mand_ie_error-RSL-IPA(411): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_mand_ie_error-RSL(412): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(413): none (pass -> pass) MTC@1830904baf87: Test case TC_rsl_mand_ie_error finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass'. Sun Oct 20 05:36:49 UTC 2024 ====== BTS_Tests.TC_rsl_mand_ie_error pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_mand_ie_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1869765) Waiting for packet dumper to finish... 1 (prev_count=1869765, count=1870390) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error'. ------ BTS_Tests.TC_rsl_ie_content_error ------ Sun Oct 20 05:36:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ie_content_error.pcap" >/data/BTS_Tests.TC_rsl_ie_content_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rsl_ie_content_error started. TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rsl_ie_content_error-RSL(415)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(415)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(415)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(415)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(415)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(415)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_rsl_ie_content_error-RSL(415)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(416)@1830904baf87: Final verdict of PTC: none TC_rsl_ie_content_error-RSL-IPA(414)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_rsl_ie_content_error-RSL-IPA(414): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rsl_ie_content_error-RSL(415): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(416): none (pass -> pass) MTC@1830904baf87: Test case TC_rsl_ie_content_error finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass'. Sun Oct 20 05:36:54 UTC 2024 ====== BTS_Tests.TC_rsl_ie_content_error pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ie_content_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1871174) Waiting for packet dumper to finish... 1 (prev_count=1871174, count=1876784) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default'. ------ BTS_Tests.TC_si_sched_default ------ Sun Oct 20 05:36:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_default.pcap" >/data/BTS_Tests.TC_si_sched_default.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_si_sched_default started. TC_si_sched_default-RSL-IPA(417)@1830904baf87: Established a new IPA connection (conn_id=2) TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(418)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@1830904baf87: Established a new IPA connection (conn_id=3) TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(418)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@1830904baf87: Established a new IPA connection (conn_id=4) TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(418)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_default-RSL-IPA(417)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL(418)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_default-RSL-IPA(417)@1830904baf87: Established a new IPA connection (conn_id=5) TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(418)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_default-RSL-IPA(417)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_default-RSL-IPA(417)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_si_sched_default-RSL(418)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_default-RSL-IPA(417)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_default-RSL(418)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_si_sched_default-RSL-IPA(417)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_si_sched_default-RSL(418)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_si_sched_default-RSL(418)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: "TC_si_sched_default": TC=0 has #of SI=4 MTC@1830904baf87: "TC_si_sched_default": TC=1 has #of SI=4 MTC@1830904baf87: "TC_si_sched_default": TC=2 has #of SI=4 MTC@1830904baf87: "TC_si_sched_default": TC=3 has #of SI=5 MTC@1830904baf87: "TC_si_sched_default": TC=4 has #of SI=5 MTC@1830904baf87: "TC_si_sched_default": TC=5 has #of SI=4 MTC@1830904baf87: "TC_si_sched_default": TC=6 has #of SI=4 MTC@1830904baf87: "TC_si_sched_default": TC=7 has #of SI=4 MTC@1830904baf87: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":4941 TC_si_sched_default-RSL(418)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(419)@1830904baf87: Final verdict of PTC: none TC_si_sched_default-RSL-IPA(417)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_si_sched_default-RSL-IPA(417): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_si_sched_default-RSL(418): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(419): none (pass -> pass) MTC@1830904baf87: Test case TC_si_sched_default finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass'. Sun Oct 20 05:37:08 UTC 2024 ====== BTS_Tests.TC_si_sched_default pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_default.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8887150) Waiting for packet dumper to finish... 1 (prev_count=8887150, count=8887647) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1'. ------ BTS_Tests.TC_si_sched_1 ------ Sun Oct 20 05:37:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_1.pcap" >/data/BTS_Tests.TC_si_sched_1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_si_sched_1 started. TC_si_sched_1-RSL-IPA(420)@1830904baf87: Established a new IPA connection (conn_id=2) TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL-IPA(420)@1830904baf87: Established a new IPA connection (conn_id=3) TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL(421)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@1830904baf87: Established a new IPA connection (conn_id=4) TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_1-RSL-IPA(420)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(421)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(420)@1830904baf87: Established a new IPA connection (conn_id=5) TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_si_sched_1-RSL(421)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_1-RSL-IPA(420)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_1-RSL-IPA(420)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(421)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL(421)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_si_sched_1-RSL-IPA(420)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(421)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_si_sched_1-RSL(421)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_si_sched_1-RSL(421)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL(421)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E504002B'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: "TC_si_sched_1": TC=0 has #of SI=4 MTC@1830904baf87: "TC_si_sched_1": TC=1 has #of SI=4 MTC@1830904baf87: "TC_si_sched_1": TC=2 has #of SI=4 MTC@1830904baf87: "TC_si_sched_1": TC=3 has #of SI=5 MTC@1830904baf87: "TC_si_sched_1": TC=4 has #of SI=5 MTC@1830904baf87: "TC_si_sched_1": TC=5 has #of SI=4 MTC@1830904baf87: "TC_si_sched_1": TC=6 has #of SI=4 MTC@1830904baf87: "TC_si_sched_1": TC=7 has #of SI=4 MTC@1830904baf87: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":4949 TC_si_sched_1-RSL(421)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(422)@1830904baf87: Final verdict of PTC: none TC_si_sched_1-RSL-IPA(420)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_si_sched_1-RSL-IPA(420): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_si_sched_1-RSL(421): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(422): none (pass -> pass) MTC@1830904baf87: Test case TC_si_sched_1 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass'. Sun Oct 20 05:37:21 UTC 2024 ====== BTS_Tests.TC_si_sched_1 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8880825) Waiting for packet dumper to finish... 1 (prev_count=8880825, count=8881322) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis'. ------ BTS_Tests.TC_si_sched_2bis ------ Sun Oct 20 05:37:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_si_sched_2bis started. TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: Established a new IPA connection (conn_id=2) TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: Established a new IPA connection (conn_id=3) TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(424)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL(424)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: Established a new IPA connection (conn_id=4) TC_si_sched_2bis-RSL(424)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_si_sched_2bis-RSL(424)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(424)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: Established a new IPA connection (conn_id=5) TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(424)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(424)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(424)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(424)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(424)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: "TC_si_sched_2bis": TC=0 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2bis": TC=1 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2bis": TC=2 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2bis": TC=3 has #of SI=5 MTC@1830904baf87: "TC_si_sched_2bis": TC=4 has #of SI=5 MTC@1830904baf87: "TC_si_sched_2bis": TC=5 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2bis": TC=6 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2bis": TC=7 has #of SI=4 MTC@1830904baf87: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":4957 TC_si_sched_2bis-RSL(424)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(425)@1830904baf87: Final verdict of PTC: none TC_si_sched_2bis-RSL-IPA(423)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_si_sched_2bis-RSL-IPA(423): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_si_sched_2bis-RSL(424): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(425): none (pass -> pass) MTC@1830904baf87: Test case TC_si_sched_2bis finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass'. Sun Oct 20 05:37:35 UTC 2024 ====== BTS_Tests.TC_si_sched_2bis pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8885959) Waiting for packet dumper to finish... 1 (prev_count=8885959, count=8886456) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter'. ------ BTS_Tests.TC_si_sched_2ter ------ Sun Oct 20 05:37:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter.pcap" >/data/BTS_Tests.TC_si_sched_2ter.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_si_sched_2ter started. TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: Established a new IPA connection (conn_id=2) TC_si_sched_2ter-RSL(427)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: Established a new IPA connection (conn_id=3) TC_si_sched_2ter-RSL(427)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: Established a new IPA connection (conn_id=4) TC_si_sched_2ter-RSL(427)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(427)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL(427)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: Established a new IPA connection (conn_id=5) TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_si_sched_2ter-RSL(427)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(427)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(427)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(427)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(427)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(427)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter-RSL(427)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: "TC_si_sched_2ter": TC=0 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2ter": TC=1 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2ter": TC=2 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2ter": TC=3 has #of SI=5 MTC@1830904baf87: "TC_si_sched_2ter": TC=4 has #of SI=5 MTC@1830904baf87: "TC_si_sched_2ter": TC=5 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2ter": TC=6 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2ter": TC=7 has #of SI=4 MTC@1830904baf87: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":4965 TC_si_sched_2ter-RSL(427)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(428)@1830904baf87: Final verdict of PTC: none TC_si_sched_2ter-RSL-IPA(426)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_si_sched_2ter-RSL-IPA(426): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_si_sched_2ter-RSL(427): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(428): none (pass -> pass) MTC@1830904baf87: Test case TC_si_sched_2ter finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass'. Sun Oct 20 05:37:49 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_2ter.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8881231) Waiting for packet dumper to finish... 1 (prev_count=8881231, count=8881728) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis'. ------ BTS_Tests.TC_si_sched_2ter_2bis ------ Sun Oct 20 05:37:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_si_sched_2ter_2bis started. TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: Established a new IPA connection (conn_id=2) TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: Established a new IPA connection (conn_id=3) TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: Established a new IPA connection (conn_id=4) TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: Established a new IPA connection (conn_id=5) TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@1830904baf87: "TC_si_sched_2ter_2bis": TC=0 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2ter_2bis": TC=1 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2ter_2bis": TC=2 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2ter_2bis": TC=3 has #of SI=5 MTC@1830904baf87: "TC_si_sched_2ter_2bis": TC=4 has #of SI=5 MTC@1830904baf87: "TC_si_sched_2ter_2bis": TC=5 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2ter_2bis": TC=6 has #of SI=4 MTC@1830904baf87: "TC_si_sched_2ter_2bis": TC=7 has #of SI=4 MTC@1830904baf87: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":4975 TC_si_sched_2ter_2bis-RSL(430)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(431)@1830904baf87: Final verdict of PTC: none TC_si_sched_2ter_2bis-RSL-IPA(429)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_si_sched_2ter_2bis-RSL-IPA(429): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_si_sched_2ter_2bis-RSL(430): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(431): none (pass -> pass) MTC@1830904baf87: Test case TC_si_sched_2ter_2bis finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass'. Sun Oct 20 05:38:02 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter_2bis pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_2ter_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8881694) Waiting for packet dumper to finish... 1 (prev_count=8881694, count=8882191) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater'. ------ BTS_Tests.TC_si_sched_2quater ------ Sun Oct 20 05:38:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2quater.pcap" >/data/BTS_Tests.TC_si_sched_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_si_sched_2quater started. TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: Established a new IPA connection (conn_id=2) TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: Established a new IPA connection (conn_id=3) TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: Established a new IPA connection (conn_id=4) TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(433)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: Established a new IPA connection (conn_id=5) TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_si_sched_2quater-RSL(433)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(433)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL(433)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(433)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(433)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_si_sched_2quater-RSL(433)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(433)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@1830904baf87: Protocol discriminator is not RR (!= '0110'B): 0 MTC@1830904baf87: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 665, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@1830904baf87: Protocol discriminator is not RR (!= '0110'B): 0 MTC@1830904baf87: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1073, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@1830904baf87: Protocol discriminator is not RR (!= '0110'B): 0 MTC@1830904baf87: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1481, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@1830904baf87: Protocol discriminator is not RR (!= '0110'B): 0 MTC@1830904baf87: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1889, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@1830904baf87: Protocol discriminator is not RR (!= '0110'B): 0 MTC@1830904baf87: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2297, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@1830904baf87: Protocol discriminator is not RR (!= '0110'B): 0 MTC@1830904baf87: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2705, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@1830904baf87: Protocol discriminator is not RR (!= '0110'B): 0 MTC@1830904baf87: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3113, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@1830904baf87: Protocol discriminator is not RR (!= '0110'B): 0 MTC@1830904baf87: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3521, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: "TC_si_sched_2quater": TC=0 has #of SI=8 MTC@1830904baf87: "TC_si_sched_2quater": TC=1 has #of SI=8 MTC@1830904baf87: "TC_si_sched_2quater": TC=2 has #of SI=8 MTC@1830904baf87: "TC_si_sched_2quater": TC=3 has #of SI=9 MTC@1830904baf87: "TC_si_sched_2quater": TC=4 has #of SI=9 MTC@1830904baf87: "TC_si_sched_2quater": TC=5 has #of SI=1 MTC@1830904baf87: "TC_si_sched_2quater": TC=6 has #of SI=9 MTC@1830904baf87: "TC_si_sched_2quater": TC=7 has #of SI=8 MTC@1830904baf87: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2654, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":4983 TC_si_sched_2quater-RSL(433)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(434)@1830904baf87: Final verdict of PTC: none TC_si_sched_2quater-RSL-IPA(432)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_si_sched_2quater-RSL-IPA(432): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_si_sched_2quater-RSL(433): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(434): none (pass -> pass) MTC@1830904baf87: Test case TC_si_sched_2quater finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass'. Sun Oct 20 05:38:24 UTC 2024 ====== BTS_Tests.TC_si_sched_2quater pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15861984) Waiting for packet dumper to finish... 1 (prev_count=15861984, count=15867594) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13'. ------ BTS_Tests.TC_si_sched_13 ------ Sun Oct 20 05:38:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13.pcap" >/data/BTS_Tests.TC_si_sched_13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_si_sched_13 started. TC_si_sched_13-RSL-IPA(435)@1830904baf87: Established a new IPA connection (conn_id=2) TC_si_sched_13-RSL(436)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL-IPA(435)@1830904baf87: Established a new IPA connection (conn_id=3) TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(436)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@1830904baf87: Established a new IPA connection (conn_id=4) TC_si_sched_13-RSL(436)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13-RSL-IPA(435)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(436)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(435)@1830904baf87: Established a new IPA connection (conn_id=5) TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(436)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_13-RSL(436)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13-RSL-IPA(435)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13-RSL-IPA(435)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_si_sched_13-RSL(436)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13-RSL-IPA(435)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL(436)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(435)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(436)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_si_sched_13-RSL(436)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_si_sched_13-RSL(436)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_si_sched_13-RSL(436)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@1830904baf87: "TC_si_sched_13": TC=0 has #of SI=4 MTC@1830904baf87: "TC_si_sched_13": TC=1 has #of SI=4 MTC@1830904baf87: "TC_si_sched_13": TC=2 has #of SI=4 MTC@1830904baf87: "TC_si_sched_13": TC=3 has #of SI=5 MTC@1830904baf87: "TC_si_sched_13": TC=4 has #of SI=5 MTC@1830904baf87: "TC_si_sched_13": TC=5 has #of SI=4 MTC@1830904baf87: "TC_si_sched_13": TC=6 has #of SI=4 MTC@1830904baf87: "TC_si_sched_13": TC=7 has #of SI=4 MTC@1830904baf87: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@1830904baf87: not-bccch-extended MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":4993 TC_si_sched_13-RSL(436)@1830904baf87: Final verdict of PTC: none TC_si_sched_13-RSL-IPA(435)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(437)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_si_sched_13-RSL-IPA(435): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_si_sched_13-RSL(436): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(437): none (pass -> pass) MTC@1830904baf87: Test case TC_si_sched_13 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass'. Sun Oct 20 05:38:37 UTC 2024 ====== BTS_Tests.TC_si_sched_13 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8885284) Waiting for packet dumper to finish... 1 (prev_count=8885284, count=8885781) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater'. ------ BTS_Tests.TC_si_sched_13_2bis_2ter_2quater ------ Sun Oct 20 05:38:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap" >/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_si_sched_13_2bis_2ter_2quater started. TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: Established a new IPA connection (conn_id=2) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: Established a new IPA connection (conn_id=3) TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: Established a new IPA connection (conn_id=4) TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: Established a new IPA connection (conn_id=5) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@1830904baf87: Protocol discriminator is not RR (!= '0110'B): 0 MTC@1830904baf87: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1430, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@1830904baf87: Protocol discriminator is not RR (!= '0110'B): 0 MTC@1830904baf87: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2654, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@1830904baf87: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: "TC_si_sched_13_2bis_2ter_2quater": TC=0 has #of SI=8 MTC@1830904baf87: "TC_si_sched_13_2bis_2ter_2quater": TC=1 has #of SI=8 MTC@1830904baf87: "TC_si_sched_13_2bis_2ter_2quater": TC=2 has #of SI=8 MTC@1830904baf87: "TC_si_sched_13_2bis_2ter_2quater": TC=3 has #of SI=9 MTC@1830904baf87: "TC_si_sched_13_2bis_2ter_2quater": TC=4 has #of SI=7 MTC@1830904baf87: "TC_si_sched_13_2bis_2ter_2quater": TC=5 has #of SI=9 MTC@1830904baf87: "TC_si_sched_13_2bis_2ter_2quater": TC=6 has #of SI=9 MTC@1830904baf87: "TC_si_sched_13_2bis_2ter_2quater": TC=7 has #of SI=8 MTC@1830904baf87: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1889, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2297, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2705, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3113, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3521, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@1830904baf87: not-bccch-extended MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":5009 TC_si_sched_13_2bis_2ter_2quater-RSL(439)@1830904baf87: Final verdict of PTC: none TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(440)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL(439): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(440): none (pass -> pass) MTC@1830904baf87: Test case TC_si_sched_13_2bis_2ter_2quater finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass'. Sun Oct 20 05:38:59 UTC 2024 ====== BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15867031) Waiting for packet dumper to finish... 1 (prev_count=15867031, count=15872204) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active'. ------ BTS_Tests.TC_ipa_dlcx_not_active ------ Sun Oct 20 05:39:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_ipa_dlcx_not_active started. TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: Established a new IPA connection (conn_id=2) TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: Established a new IPA connection (conn_id=3) TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: Established a new IPA connection (conn_id=4) TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: Established a new IPA connection (conn_id=5) TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active(444)@1830904baf87: setverdict(pass): none -> pass TC_ipa_dlcx_not_active(444)@1830904baf87: Final verdict of PTC: pass TC_ipa_dlcx_not_active-RSL(442)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(443)@1830904baf87: Final verdict of PTC: none TC_ipa_dlcx_not_active-RSL-IPA(441)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_ipa_dlcx_not_active-RSL-IPA(441): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ipa_dlcx_not_active-RSL(442): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(443): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ipa_dlcx_not_active(444): pass (none -> pass) MTC@1830904baf87: Test case TC_ipa_dlcx_not_active finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass'. Sun Oct 20 05:39:05 UTC 2024 ====== BTS_Tests.TC_ipa_dlcx_not_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ipa_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1882408) Waiting for packet dumper to finish... 1 (prev_count=1882408, count=1887581) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active'. ------ BTS_Tests.TC_ipa_crcx_twice_not_active ------ Sun Oct 20 05:39:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_ipa_crcx_twice_not_active started. TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: Established a new IPA connection (conn_id=2) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: Established a new IPA connection (conn_id=3) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: Established a new IPA connection (conn_id=4) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: Established a new IPA connection (conn_id=5) TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active(448)@1830904baf87: setverdict(pass): none -> pass TC_ipa_crcx_twice_not_active(448)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_twice_not_active(448)@1830904baf87: Final verdict of PTC: pass TC_ipa_crcx_twice_not_active-RSL(446)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(447)@1830904baf87: Final verdict of PTC: none TC_ipa_crcx_twice_not_active-RSL-IPA(445)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL-IPA(445): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL(446): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(447): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_twice_not_active(448): pass (none -> pass) MTC@1830904baf87: Test case TC_ipa_crcx_twice_not_active finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass'. Sun Oct 20 05:39:10 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_twice_not_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ipa_crcx_twice_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1890473) Waiting for packet dumper to finish... 1 (prev_count=1890473, count=1890970) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active ------ Sun Oct 20 05:39:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_ipa_crcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active(452)@1830904baf87: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_dlcx_not_active(452)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(452)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(452)@1830904baf87: Final verdict of PTC: pass TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(451)@1830904baf87: Final verdict of PTC: none TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(451): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active(452): pass (none -> pass) MTC@1830904baf87: Test case TC_ipa_crcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass'. Sun Oct 20 05:39:16 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1890919) Waiting for packet dumper to finish... 1 (prev_count=1890919, count=1891416) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active ------ Sun Oct 20 05:39:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@1830904baf87: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@1830904baf87: Final verdict of PTC: pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(455)@1830904baf87: Final verdict of PTC: none TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(455): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456): pass (none -> pass) MTC@1830904baf87: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass'. Sun Oct 20 05:39:21 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1895093) Waiting for packet dumper to finish... 1 (prev_count=1895093, count=1895590) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active'. ------ BTS_Tests.TC_ipa_crcx_sdcch_not_active ------ Sun Oct 20 05:39:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_ipa_crcx_sdcch_not_active started. TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: Established a new IPA connection (conn_id=2) TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: Established a new IPA connection (conn_id=3) TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: Established a new IPA connection (conn_id=4) MTC@1830904baf87: 1/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: Established a new IPA connection (conn_id=5) TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active(460)@1830904baf87: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(460)@1830904baf87: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active(461)@1830904baf87: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(461)@1830904baf87: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active-RSL(458)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(459)@1830904baf87: Final verdict of PTC: none TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL-IPA(457): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL(458): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(459): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(460): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(461): pass (pass -> pass) MTC@1830904baf87: Test case TC_ipa_crcx_sdcch_not_active finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass'. Sun Oct 20 05:39:27 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_sdcch_not_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ipa_crcx_sdcch_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1892680) Waiting for packet dumper to finish... 1 (prev_count=1892680, count=1893177) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr'. ------ BTS_Tests.TC_ipa_crcx_ack_addr ------ Sun Oct 20 05:39:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap" >/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_ipa_crcx_ack_addr started. TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: Established a new IPA connection (conn_id=2) TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: Established a new IPA connection (conn_id=3) TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: Established a new IPA connection (conn_id=4) TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: Established a new IPA connection (conn_id=5) TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr(465)@1830904baf87: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(465)@1830904baf87: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(465)@1830904baf87: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(466)@1830904baf87: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(466)@1830904baf87: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(466)@1830904baf87: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr-RSL(463)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(464)@1830904baf87: Final verdict of PTC: none TC_ipa_crcx_ack_addr-RSL-IPA(462)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL-IPA(462): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL(463): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(464): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_ack_addr(465): fail (none -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@1830904baf87: Local verdict of PTC TC_ipa_crcx_ack_addr(466): fail (fail -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@1830904baf87: Test case TC_ipa_crcx_ack_addr finished. Verdict: fail reason: CRCX ACK indicates nonsense addr "0.0.0.0" MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail'. Sun Oct 20 05:39:33 UTC 2024 ------ BTS_Tests.TC_ipa_crcx_ack_addr fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ipa_crcx_ack_addr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1896987) Waiting for packet dumper to finish... 1 (prev_count=1896987, count=1897484) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps'. ------ BTS_Tests.TC_paging_imsi_200percent_with_ps ------ Sun Oct 20 05:39:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_paging_imsi_200percent_with_ps started. TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: Rx LOAD_IND MTC@1830904baf87: num_paging_sent=679 rcvd_msgs=271 rcvd_ids=538 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":4445 TC_paging_imsi_200percent_with_ps-RSL(468)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(469)@1830904baf87: Final verdict of PTC: none TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL-IPA(467): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL(468): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(469): none (pass -> pass) MTC@1830904baf87: Test case TC_paging_imsi_200percent_with_ps finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass'. Sun Oct 20 05:40:12 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent_with_ps pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_paging_imsi_200percent_with_ps.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32377182) Waiting for packet dumper to finish... 1 (prev_count=32377182, count=32377679) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req'. ------ BTS_Tests.TC_pcu_act_req ------ Sun Oct 20 05:40:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req.pcap" >/data/BTS_Tests.TC_pcu_act_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_act_req started. TC_pcu_act_req-RSL-IPA(470)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_act_req-RSL(471)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(471)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(471)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(471)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL(471)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req-RSL-IPA(470)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_act_req-RSL(471)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_act_req-RSL-IPA(470)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(471)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL(471)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_act_req-RSL(471)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(471)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(471)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL(471)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_act_req-RSL(471)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(472)@1830904baf87: Final verdict of PTC: none TC_pcu_act_req-RSL-IPA(470)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_act_req-RSL-IPA(470): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_act_req-RSL(471): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(472): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_act_req finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass'. Sun Oct 20 05:40:20 UTC 2024 ====== BTS_Tests.TC_pcu_act_req pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_act_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3280855) Waiting for packet dumper to finish... 1 (prev_count=3280855, count=3281352) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts'. ------ BTS_Tests.TC_pcu_act_req_wrong_ts ------ Sun Oct 20 05:40:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_act_req_wrong_ts started. TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_act_req_wrong_ts-RSL(474)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(475)@1830904baf87: Final verdict of PTC: none TC_pcu_act_req_wrong_ts-RSL-IPA(473)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL-IPA(473): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL(474): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(475): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_act_req_wrong_ts finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass'. Sun Oct 20 05:40:32 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_ts pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4633568) Waiting for packet dumper to finish... 1 (prev_count=4633568, count=4634065) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts'. ------ BTS_Tests.TC_pcu_act_req_wrong_bts ------ Sun Oct 20 05:40:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_act_req_wrong_bts started. TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_act_req_wrong_bts-RSL(477)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(478)@1830904baf87: Final verdict of PTC: none TC_pcu_act_req_wrong_bts-RSL-IPA(476)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL-IPA(476): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL(477): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(478): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_act_req_wrong_bts finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass'. Sun Oct 20 05:40:44 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_bts pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4635577) Waiting for packet dumper to finish... 1 (prev_count=4635577, count=4636511) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx'. ------ BTS_Tests.TC_pcu_act_req_wrong_trx ------ Sun Oct 20 05:40:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_act_req_wrong_trx started. TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_act_req_wrong_trx-RSL(480)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(481)@1830904baf87: Final verdict of PTC: none TC_pcu_act_req_wrong_trx-RSL-IPA(479)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL-IPA(479): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL(480): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(481): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_act_req_wrong_trx finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass'. Sun Oct 20 05:40:55 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_trx pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4642303) Waiting for packet dumper to finish... 1 (prev_count=4642303, count=4642800) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req'. ------ BTS_Tests.TC_pcu_deact_req ------ Sun Oct 20 05:40:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req.pcap" >/data/BTS_Tests.TC_pcu_deact_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_deact_req started. TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(483)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(483)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(483)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL(483)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(483)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req-RSL(483)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_deact_req-RSL(483)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(483)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_deact_req-RSL(483)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(484)@1830904baf87: Final verdict of PTC: none TC_pcu_deact_req-RSL-IPA(482)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_deact_req-RSL-IPA(482): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_deact_req-RSL(483): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(484): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_deact_req finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass'. Sun Oct 20 05:41:09 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_deact_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5585047) Waiting for packet dumper to finish... 1 (prev_count=5585047, count=5590220) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts'. ------ BTS_Tests.TC_pcu_deact_req_wrong_ts ------ Sun Oct 20 05:41:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_deact_req_wrong_ts started. TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_deact_req_wrong_ts-RSL(486)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(487)@1830904baf87: Final verdict of PTC: none TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL-IPA(485): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL(486): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(487): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_deact_req_wrong_ts finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass'. Sun Oct 20 05:41:18 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req_wrong_ts pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_deact_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3718242) Waiting for packet dumper to finish... 1 (prev_count=3718242, count=3718867) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1'. ------ BTS_Tests.TC_pcu_ver_si1 ------ Sun Oct 20 05:41:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si1.pcap" >/data/BTS_Tests.TC_pcu_ver_si1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_ver_si1 started. TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_ver_si1-RSL(489)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_ver_si1-RSL(489)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_ver_si1-RSL(489)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(489)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(489)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(489)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(489)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL(489)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_ver_si1-RSL(489)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(489)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_ver_si1-RSL(489)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(489)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_1 (1): '550111132A252B27CC29AA11BB33CC'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_ver_si1-RSL(489)@1830904baf87: Final verdict of PTC: none TC_pcu_ver_si1-RSL-IPA(488)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(490)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_ver_si1-RSL-IPA(488): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_ver_si1-RSL(489): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(490): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_ver_si1 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass'. Sun Oct 20 05:41:24 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si1 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_ver_si1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1885131) Waiting for packet dumper to finish... 1 (prev_count=1885131, count=1890741) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3'. ------ BTS_Tests.TC_pcu_ver_si3 ------ Sun Oct 20 05:41:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si3.pcap" >/data/BTS_Tests.TC_pcu_ver_si3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_ver_si3 started. TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(492)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_ver_si3-RSL(492)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(492)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL(492)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(492)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(492)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_ver_si3-RSL(492)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(492)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49012223242526272929AABBCC'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_ver_si3-RSL(492)@1830904baf87: Final verdict of PTC: none TC_pcu_ver_si3-RSL-IPA(491)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(493)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_ver_si3-RSL-IPA(491): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_ver_si3-RSL(492): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(493): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_ver_si3 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass'. Sun Oct 20 05:41:29 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_ver_si3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1889569) Waiting for packet dumper to finish... 1 (prev_count=1889569, count=1894742) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13'. ------ BTS_Tests.TC_pcu_ver_si13 ------ Sun Oct 20 05:41:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si13.pcap" >/data/BTS_Tests.TC_pcu_ver_si13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_ver_si13 started. TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_ver_si13-RSL(495)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(495)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_ver_si13-RSL(495)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(495)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL(495)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_ver_si13-RSL(495)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(495)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_ver_si13-RSL(495)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(495)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_13 (40): '01010203040506070909'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_ver_si13-RSL(495)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(496)@1830904baf87: Final verdict of PTC: none TC_pcu_ver_si13-RSL-IPA(494)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_ver_si13-RSL-IPA(494): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_ver_si13-RSL(495): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(496): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_ver_si13 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass'. Sun Oct 20 05:41:35 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si13 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_ver_si13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1890622) Waiting for packet dumper to finish... 1 (prev_count=1890622, count=1891119) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch'. ------ BTS_Tests.TC_pcu_data_req_pdtch ------ Sun Oct 20 05:41:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_data_req_pdtch started. TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pdtch-RSL(498)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(499)@1830904baf87: Final verdict of PTC: none TC_pcu_data_req_pdtch-RSL-IPA(497)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_pdtch-RSL-IPA(497): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_pdtch-RSL(498): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(499): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_data_req_pdtch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass'. Sun Oct 20 05:41:44 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pdtch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_pdtch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4597389) Waiting for packet dumper to finish... 1 (prev_count=4597389, count=4602999) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch'. ------ BTS_Tests.TC_pcu_data_req_ptcch ------ Sun Oct 20 05:41:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap" >/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_data_req_ptcch started. TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_ptcch-RSL(501)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(502)@1830904baf87: Final verdict of PTC: none TC_pcu_data_req_ptcch-RSL-IPA(500)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_ptcch-RSL-IPA(500): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_ptcch-RSL(501): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(502): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_data_req_ptcch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass'. Sun Oct 20 05:41:53 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ptcch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5219279) Waiting for packet dumper to finish... 1 (prev_count=5219279, count=5219776) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts'. ------ BTS_Tests.TC_pcu_data_req_wrong_bts ------ Sun Oct 20 05:41:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_data_req_wrong_bts started. TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed IPA-CTRL-CLI-IPA(505)@1830904baf87: Final verdict of PTC: none TC_pcu_data_req_wrong_bts-RSL(504)@1830904baf87: Final verdict of PTC: none TC_pcu_data_req_wrong_bts-RSL-IPA(503)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL-IPA(503): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL(504): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(505): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_data_req_wrong_bts finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass'. Sun Oct 20 05:42:07 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_bts pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9568957) Waiting for packet dumper to finish... 1 (prev_count=9568957, count=9569454) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx'. ------ BTS_Tests.TC_pcu_data_req_wrong_trx ------ Sun Oct 20 05:42:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_data_req_wrong_trx started. TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_trx-RSL(507)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(508)@1830904baf87: Final verdict of PTC: none TC_pcu_data_req_wrong_trx-RSL-IPA(506)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL-IPA(506): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL(507): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(508): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_data_req_wrong_trx finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass'. Sun Oct 20 05:42:20 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_trx pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9571020) Waiting for packet dumper to finish... 1 (prev_count=9571020, count=9571517) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts'. ------ BTS_Tests.TC_pcu_data_req_wrong_ts ------ Sun Oct 20 05:42:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_data_req_wrong_ts started. TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed IPA-CTRL-CLI-IPA(511)@1830904baf87: Final verdict of PTC: none TC_pcu_data_req_wrong_ts-RSL(510)@1830904baf87: Final verdict of PTC: none TC_pcu_data_req_wrong_ts-RSL-IPA(509)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL-IPA(509): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL(510): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(511): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_data_req_wrong_ts finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass'. Sun Oct 20 05:42:34 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_ts pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9565263) Waiting for packet dumper to finish... 1 (prev_count=9565263, count=9570873) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive'. ------ BTS_Tests.TC_pcu_data_req_ts_inactive ------ Sun Oct 20 05:42:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap" >/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_data_req_ts_inactive started. TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_data_req_ts_inactive-RSL(513)@1830904baf87: Final verdict of PTC: none TC_pcu_data_req_ts_inactive-RSL-IPA(512)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(514)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL-IPA(512): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL(513): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(514): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_data_req_ts_inactive finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass'. Sun Oct 20 05:42:45 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ts_inactive pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_ts_inactive.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6274922) Waiting for packet dumper to finish... 1 (prev_count=6274922, count=6280095) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch'. ------ BTS_Tests.TC_pcu_ptcch ------ Sun Oct 20 05:42:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ptcch.pcap" >/data/BTS_Tests.TC_pcu_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_ptcch started. TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_ptcch-RSL(516)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(516)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(516)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_ptcch-RSL(516)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(516)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL(516)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(516)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL(516)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(516)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ptcch-RSL(516)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 114, qta := 0, fn := 792, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 117, qta := 0, fn := 818, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 121, qta := 0, fn := 844, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 113, qta := 0, fn := 870, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 116, qta := 0, fn := 896, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 124, qta := 0, fn := 922, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 119, qta := 0, fn := 948, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 125, qta := 0, fn := 974, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 113, qta := 0, fn := 1000, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 126, qta := 0, fn := 1026, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 120, qta := 0, fn := 1052, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 116, qta := 0, fn := 1078, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 112, qta := 0, fn := 1104, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 120, qta := 0, fn := 1130, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 119, qta := 0, fn := 1156, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending an Access Burst towards the L1CTL interface MTC@1830904baf87: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 124, qta := 0, fn := 1182, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Sending a PTCCH/D block towards the PCU interface: '66A5CD77585040D1468EBDA29F9A0E16E7FA174CEBDD10'O MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_ptcch-RSL(516)@1830904baf87: Final verdict of PTC: none TC_pcu_ptcch-RSL-IPA(515)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(517)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_ptcch-RSL-IPA(515): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_ptcch-RSL(516): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(517): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_ptcch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch pass'. Sun Oct 20 05:42:56 UTC 2024 ====== BTS_Tests.TC_pcu_ptcch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7177166) Waiting for packet dumper to finish... 1 (prev_count=7177166, count=7177663) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch'. ------ BTS_Tests.TC_pcu_data_req_agch ------ Sun Oct 20 05:42:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_data_req_agch started. TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(519)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL(519)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_data_req_agch-RSL(519)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(519)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(519)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_agch-RSL(519)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(519)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_agch-RSL(519)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(519)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL(519)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_agch-RSL(519)@1830904baf87: Final verdict of PTC: none TC_pcu_data_req_agch-RSL-IPA(518)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(520)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_agch-RSL-IPA(518): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_agch-RSL(519): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(520): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_data_req_agch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass'. Sun Oct 20 05:43:04 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_agch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4581858) Waiting for packet dumper to finish... 1 (prev_count=4581858, count=4587596) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch'. ------ BTS_Tests.TC_pcu_data_req_pch ------ Sun Oct 20 05:43:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_data_req_pch started. TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pch-RSL(522)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(522)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(522)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(522)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(522)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(522)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL(522)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(522)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(522)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pch-RSL(522)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(523)@1830904baf87: Final verdict of PTC: none TC_pcu_data_req_pch-RSL-IPA(521)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_pch-RSL-IPA(521): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_pch-RSL(522): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(523): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_data_req_pch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass'. Sun Oct 20 05:43:13 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4580468) Waiting for packet dumper to finish... 1 (prev_count=4580468, count=4580965) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_pch ------ Sun Oct 20 05:43:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_data_req_imm_ass_pch started. TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: IMM.ASS was sent on PCH MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_pch-RSL(525)@1830904baf87: Final verdict of PTC: none TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(526)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL-IPA(524): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL(525): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(526): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_data_req_imm_ass_pch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass'. Sun Oct 20 05:43:19 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_pch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2105582) Waiting for packet dumper to finish... 1 (prev_count=2105582, count=2110883) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_agch ------ Sun Oct 20 05:43:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_data_req_imm_ass_agch started. TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: IMM.ASS was sent on AGCH MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_agch-RSL(528)@1830904baf87: Final verdict of PTC: none TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(529)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL-IPA(527): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL(528): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(529): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_data_req_imm_ass_agch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass'. Sun Oct 20 05:43:25 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_agch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2065783) Waiting for packet dumper to finish... 1 (prev_count=2065783, count=2070956) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content'. ------ BTS_Tests.TC_pcu_rach_content ------ Sun Oct 20 05:43:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rach_content.pcap" >/data/BTS_Tests.TC_pcu_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_rach_content started. TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_rach_content-RSL(531)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(531)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(531)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(531)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_rach_content-RSL(531)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(531)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(531)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_rach_content-RSL(531)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(531)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(531)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(531)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(531)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_rach_content-RSL(531)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(532)@1830904baf87: Final verdict of PTC: none TC_pcu_rach_content-RSL-IPA(530)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_rach_content-RSL-IPA(530): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_rach_content-RSL(531): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(532): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_rach_content finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass'. Sun Oct 20 05:43:39 UTC 2024 ====== BTS_Tests.TC_pcu_rach_content pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10854590) Waiting for packet dumper to finish... 1 (prev_count=10854590, count=10859763) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content'. ------ BTS_Tests.TC_pcu_ext_rach_content ------ Sun Oct 20 05:43:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ext_rach_content.pcap" >/data/BTS_Tests.TC_pcu_ext_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_ext_rach_content started. TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_ext_rach_content-RSL(534)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(534)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_ext_rach_content-RSL(534)@1830904baf87: Final verdict of PTC: none TC_pcu_ext_rach_content-RSL-IPA(533)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(535)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_ext_rach_content-RSL-IPA(533): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_ext_rach_content-RSL(534): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(535): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_ext_rach_content finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass'. Sun Oct 20 05:43:53 UTC 2024 ====== BTS_Tests.TC_pcu_ext_rach_content pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_ext_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10853673) Waiting for packet dumper to finish... 1 (prev_count=10853673, count=10854170) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb'. ------ BTS_Tests.TC_pcu_data_ind_lqual_cb ------ Sun Oct 20 05:43:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap" >/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_data_ind_lqual_cb started. TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=-256 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=-256) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=-128 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=-128) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=0 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=0) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=128 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=128) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=256 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=256) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=384 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=384) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=512 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=512) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=640 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=640) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=768 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=768) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=896 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=896) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=1024 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=1024) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=1152 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=1152) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Testing C/I=1280 cB MTC@1830904baf87: Rx PCUIF_DATA.ind (lqual_cb=1280) MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_ind_lqual_cb-RSL(537)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(538)@1830904baf87: Final verdict of PTC: none TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL-IPA(536): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL(537): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(538): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_data_ind_lqual_cb finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb pass'. Sun Oct 20 05:44:02 UTC 2024 ====== BTS_Tests.TC_pcu_data_ind_lqual_cb pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_ind_lqual_cb.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5076406) Waiting for packet dumper to finish... 1 (prev_count=5076406, count=5077031) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl'. ------ BTS_Tests.TC_pcu_paging_from_rsl ------ Sun Oct 20 05:44:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap" >/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_paging_from_rsl started. TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_paging_from_rsl-RSL(540)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(541)@1830904baf87: Final verdict of PTC: none TC_pcu_paging_from_rsl-RSL-IPA(539)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_paging_from_rsl-RSL-IPA(539): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_paging_from_rsl-RSL(540): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(541): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_paging_from_rsl finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass'. Sun Oct 20 05:44:08 UTC 2024 ====== BTS_Tests.TC_pcu_paging_from_rsl pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_paging_from_rsl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2032014) Waiting for packet dumper to finish... 1 (prev_count=2032014, count=2032511) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind'. ------ BTS_Tests.TC_pcu_time_ind ------ Sun Oct 20 05:44:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_time_ind.pcap" >/data/BTS_Tests.TC_pcu_time_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_time_ind started. TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_time_ind-RSL(543)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(543)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(543)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(543)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(543)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(543)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(543)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(543)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(543)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL(543)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_time_ind-RSL(543)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(543)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: 1083 fn expired with 251 PCU_TIME.ind MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_time_ind-RSL(543)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(544)@1830904baf87: Final verdict of PTC: none TC_pcu_time_ind-RSL-IPA(542)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_time_ind-RSL-IPA(542): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_time_ind-RSL(543): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(544): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_time_ind finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass'. Sun Oct 20 05:44:22 UTC 2024 ====== BTS_Tests.TC_pcu_time_ind pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_time_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5761200) Waiting for packet dumper to finish... 1 (prev_count=5761200, count=5761697) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req'. ------ BTS_Tests.TC_pcu_rts_req ------ Sun Oct 20 05:44:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rts_req.pcap" >/data/BTS_Tests.TC_pcu_rts_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_rts_req started. TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(546)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(546)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(546)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(546)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(546)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_rts_req-RSL(546)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(546)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL(546)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(546)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(546)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(546)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL(546)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: 1083 fn expired with num_rts_pdtch=251, num_rts_ptcch=10 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rts_req-RSL(546)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(547)@1830904baf87: Final verdict of PTC: none TC_pcu_rts_req-RSL-IPA(545)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_rts_req-RSL-IPA(545): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_rts_req-RSL(546): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(547): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_rts_req finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass'. Sun Oct 20 05:44:35 UTC 2024 ====== BTS_Tests.TC_pcu_rts_req pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_rts_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5740751) Waiting for packet dumper to finish... 1 (prev_count=5740751, count=5741248) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert'. ------ BTS_Tests.TC_pcu_oml_alert ------ Sun Oct 20 05:44:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_oml_alert.pcap" >/data/BTS_Tests.TC_pcu_oml_alert.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_oml_alert started. TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_oml_alert-RSL(549)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(549)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(549)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(549)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(549)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_oml_alert-RSL(549)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL(549)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(549)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(549)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL(549)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(549)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL(549)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(550)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(551)@1830904baf87: setverdict(fail): none -> fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration", new component reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MC@1830904baf87: Test Component 551 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(551)@1830904baf87: Final verdict of PTC: fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" TC_pcu_oml_alert-RSL(549)@1830904baf87: Final verdict of PTC: none TC_pcu_oml_alert-RSL-IPA(548)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_oml_alert-RSL-IPA(548): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_oml_alert-RSL(549): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(550): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(551): fail (pass -> fail) reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MTC@1830904baf87: Test case TC_pcu_oml_alert finished. Verdict: fail reason: Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail'. Sun Oct 20 05:44:44 UTC 2024 ------ BTS_Tests.TC_pcu_oml_alert fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_oml_alert.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3284409) Waiting for packet dumper to finish... 1 (prev_count=3284409, count=3290019) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend'. ------ BTS_Tests.TC_pcu_rr_suspend ------ Sun Oct 20 05:44:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rr_suspend.pcap" >/data/BTS_Tests.TC_pcu_rr_suspend.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_rr_suspend started. TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(553)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL(553)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(553)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(553)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL(553)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(553)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(553)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(553)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(553)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL(553)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(553)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(553)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend(555)@1830904baf87: setverdict(pass): none -> pass TC_pcu_rr_suspend(555)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_pcu_rr_suspend(555)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_pcu_rr_suspend(555)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(555)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(555)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(555)@1830904baf87: Final verdict of PTC: pass TC_pcu_rr_suspend-RSL(553)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(554)@1830904baf87: Final verdict of PTC: none TC_pcu_rr_suspend-RSL-IPA(552)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_pcu_rr_suspend-RSL-IPA(552): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_pcu_rr_suspend-RSL(553): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(554): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_pcu_rr_suspend(555): pass (none -> pass) MTC@1830904baf87: Test case TC_pcu_rr_suspend finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass'. Sun Oct 20 05:44:50 UTC 2024 ====== BTS_Tests.TC_pcu_rr_suspend pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_rr_suspend.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2279018) Waiting for packet dumper to finish... 1 (prev_count=2279018, count=2279515) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi'. ------ BTS_Tests.TC_pcu_socket_connect_multi ------ Sun Oct 20 05:44:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_socket_connect_multi started. TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: Established a new IPA connection (conn_id=5) MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: BTS has accept()ed connection MTC@1830904baf87: BTS has close()d connection MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":6302 IPA-CTRL-CLI-IPA(558)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_connect_multi-RSL(557)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_connect_multi-RSL-IPA(556)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_connect_multi-RSL-IPA(556): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_connect_multi-RSL(557): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(558): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_socket_connect_multi finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass'. Sun Oct 20 05:44:55 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_multi pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_connect_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1887704) Waiting for packet dumper to finish... 1 (prev_count=1887704, count=1888201) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect'. ------ BTS_Tests.TC_pcu_socket_reconnect ------ Sun Oct 20 05:44:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_reconnect.pcap" >/data/BTS_Tests.TC_pcu_socket_reconnect.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_socket_reconnect started. TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_socket_reconnect-RSL(560)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(560)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(560)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(560)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":6322 TC_pcu_socket_reconnect-RSL(560)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(561)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_reconnect-RSL-IPA(559)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_reconnect-RSL-IPA(559): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_reconnect-RSL(560): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(561): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_socket_reconnect finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass'. Sun Oct 20 05:45:03 UTC 2024 ====== BTS_Tests.TC_pcu_socket_reconnect pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_reconnect.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2797398) Waiting for packet dumper to finish... 1 (prev_count=2797398, count=2797895) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs ------ Sun Oct 20 05:45:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_socket_noconnect_nosi3gprs started. TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(564)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL(563): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(564): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_socket_noconnect_nosi3gprs finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass'. Sun Oct 20 05:45:11 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4300719) Waiting for packet dumper to finish... 1 (prev_count=4300719, count=4301216) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs ------ Sun Oct 20 05:45:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_socket_noconnect_nosi4gprs started. TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(567)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL(566): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(567): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_socket_noconnect_nosi4gprs finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass'. Sun Oct 20 05:45:19 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3663683) Waiting for packet dumper to finish... 1 (prev_count=3663683, count=3668856) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si3gprs ------ Sun Oct 20 05:45:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_socket_connect_si3gprs started. TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si3gprs-RSL(569)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(570)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL-IPA(568): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL(569): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(570): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_socket_connect_si3gprs finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass'. Sun Oct 20 05:45:27 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si3gprs pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_connect_si3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4309925) Waiting for packet dumper to finish... 1 (prev_count=4309925, count=4310422) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si4gprs ------ Sun Oct 20 05:45:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_socket_connect_si4gprs started. TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si4gprs-RSL(572)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(573)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL-IPA(571): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL(572): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(573): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_socket_connect_si4gprs finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass'. Sun Oct 20 05:45:35 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si4gprs pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_connect_si4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3673862) Waiting for packet dumper to finish... 1 (prev_count=3673862, count=3674359) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs ------ Sun Oct 20 05:45:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_socket_disconnect_nosi3gprs started. TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(576)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL(575): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(576): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_socket_disconnect_nosi3gprs finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass'. Sun Oct 20 05:45:44 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5131979) Waiting for packet dumper to finish... 1 (prev_count=5131979, count=5137717) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs ------ Sun Oct 20 05:45:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_socket_disconnect_nosi4gprs started. TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@1830904baf87: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(579)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL(578): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(579): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_socket_disconnect_nosi4gprs finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass'. Sun Oct 20 05:45:54 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5333223) Waiting for packet dumper to finish... 1 (prev_count=5333223, count=5338833) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind'. ------ BTS_Tests.TC_pcu_socket_verify_info_ind ------ Sun Oct 20 05:45:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap" >/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_socket_verify_info_ind started. TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_socket_verify_info_ind-RSL(581)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(582)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_verify_info_ind-RSL-IPA(580)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL-IPA(580): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL(581): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(582): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_socket_verify_info_ind finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass'. Sun Oct 20 05:46:01 UTC 2024 ====== BTS_Tests.TC_pcu_socket_verify_info_ind pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_verify_info_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2787188) Waiting for packet dumper to finish... 1 (prev_count=2787188, count=2787685) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_act_deact ------ Sun Oct 20 05:46:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_dyn_osmo_pdch_act_deact started. TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact(586)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_act_deact(586)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(586)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(586)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_act_deact-RSL(584)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(585)@1830904baf87: Final verdict of PTC: none TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL-IPA(583): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL(584): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(585): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_act_deact(586): pass (none -> pass) MTC@1830904baf87: Test case TC_dyn_osmo_pdch_act_deact finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass'. Sun Oct 20 05:46:10 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_act_deact pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3407231) Waiting for packet dumper to finish... 1 (prev_count=3407231, count=3407728) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_double_act ------ Sun Oct 20 05:46:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_dyn_osmo_pdch_double_act started. TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act(590)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_double_act(590)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(590)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(590)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_double_act-RSL(588)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(589)@1830904baf87: Final verdict of PTC: none TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL-IPA(587): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL(588): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(589): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_double_act(590): pass (none -> pass) MTC@1830904baf87: Test case TC_dyn_osmo_pdch_double_act finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass'. Sun Oct 20 05:46:16 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_double_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_double_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1912550) Waiting for packet dumper to finish... 1 (prev_count=1912550, count=1913047) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_deact ------ Sun Oct 20 05:46:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_dyn_ipa_pdch_act_deact started. TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact(594)@1830904baf87: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_deact(594)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_deact(594)@1830904baf87: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_deact-RSL(592)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(593)@1830904baf87: Final verdict of PTC: none TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL-IPA(591): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL(592): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(593): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_ipa_pdch_act_deact(594): pass (none -> pass) MTC@1830904baf87: Test case TC_dyn_ipa_pdch_act_deact finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass'. Sun Oct 20 05:46:24 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_deact pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3290460) Waiting for packet dumper to finish... 1 (prev_count=3290460, count=3296070) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack ------ Sun Oct 20 05:46:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_dyn_ipa_pdch_act_tchf_act_nack started. TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack(598)@1830904baf87: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_tchf_act_nack(598)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(598)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(598)@1830904baf87: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@1830904baf87: Final verdict of PTC: none TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(597)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(597): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack(598): pass (none -> pass) MTC@1830904baf87: Test case TC_dyn_ipa_pdch_act_tchf_act_nack finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass'. Sun Oct 20 05:46:30 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1926392) Waiting for packet dumper to finish... 1 (prev_count=1926392, count=1926889) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params'. ------ BTS_Tests.TC_pcu_info_ind_fh_params ------ Sun Oct 20 05:46:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap" >/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_info_ind_fh_params started. TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Checking timeslot #7 of trx#0: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Checking timeslot #2 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Checking timeslot #6 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Checking timeslot #7 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Checking timeslot #1 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Checking timeslot #3 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Checking timeslot #6 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Checking timeslot #7 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Checking timeslot #0 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Checking timeslot #4 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Checking timeslot #6 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_pcu_info_ind_fh_params-RSL(600)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(601)@1830904baf87: Final verdict of PTC: none TC_pcu_info_ind_fh_params-RSL-IPA(599)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL-IPA(599): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL(600): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(601): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_info_ind_fh_params finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass'. Sun Oct 20 05:46:35 UTC 2024 ====== BTS_Tests.TC_pcu_info_ind_fh_params pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_info_ind_fh_params.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1889058) Waiting for packet dumper to finish... 1 (prev_count=1889058, count=1889555) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv4 ------ Sun Oct 20 05:46:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_socket_nsvc_ipv4 started. TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv4-RSL(603)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(604)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL-IPA(602): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL(603): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(604): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_socket_nsvc_ipv4 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass'. Sun Oct 20 05:46:41 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1898904) Waiting for packet dumper to finish... 1 (prev_count=1898904, count=1899401) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv6 ------ Sun Oct 20 05:46:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_socket_nsvc_ipv6 started. TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv6-RSL(606)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(607)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL-IPA(605): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL(606): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(607): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_socket_nsvc_ipv6 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass'. Sun Oct 20 05:46:47 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv6.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1901709) Waiting for packet dumper to finish... 1 (prev_count=1901709, count=1902206) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc'. ------ BTS_Tests.TC_pcu_socket_two_nsvc ------ Sun Oct 20 05:46:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap" >/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_socket_two_nsvc started. TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass TC_pcu_socket_two_nsvc-RSL(609)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(610)@1830904baf87: Final verdict of PTC: none TC_pcu_socket_two_nsvc-RSL-IPA(608)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL-IPA(608): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL(609): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(610): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_socket_two_nsvc finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass'. Sun Oct 20 05:46:52 UTC 2024 ====== BTS_Tests.TC_pcu_socket_two_nsvc pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_two_nsvc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1911855) Waiting for packet dumper to finish... 1 (prev_count=1911855, count=1912352) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind'. ------ BTS_Tests.TC_pcu_interf_ind ------ Sun Oct 20 05:46:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_interf_ind.pcap" >/data/BTS_Tests.TC_pcu_interf_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_pcu_interf_ind started. TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: Established a new IPA connection (conn_id=2) TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: Established a new IPA connection (conn_id=3) TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: Established a new IPA connection (conn_id=4) TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(612)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: Established a new IPA connection (conn_id=5) TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_pcu_interf_ind-RSL(612)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(612)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL(612)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(612)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL(612)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_pcu_interf_ind-RSL(612)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(612)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Warning: Re-starting timer T, which is already active (running or expired). MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":6674 TC_pcu_interf_ind-RSL(612)@1830904baf87: Final verdict of PTC: none TC_pcu_interf_ind-RSL-IPA(611)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(613)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_pcu_interf_ind-RSL-IPA(611): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_pcu_interf_ind-RSL(612): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(613): none (pass -> pass) MTC@1830904baf87: Test case TC_pcu_interf_ind finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass'. Sun Oct 20 05:47:03 UTC 2024 ====== BTS_Tests.TC_pcu_interf_ind pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_interf_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5804323) Waiting for packet dumper to finish... 1 (prev_count=5804323, count=5804820) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_unsol_deact ------ Sun Oct 20 05:47:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_dyn_osmo_pdch_unsol_deact started. TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact(617)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_unsol_deact(617)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_unsol_deact-RSL(615)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(616)@1830904baf87: Final verdict of PTC: none TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL(615): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(616): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact(617): pass (none -> pass) MTC@1830904baf87: Test case TC_dyn_osmo_pdch_unsol_deact finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass'. Sun Oct 20 05:47:09 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1909088) Waiting for packet dumper to finish... 1 (prev_count=1909088, count=1909585) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchf_act ------ Sun Oct 20 05:47:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_dyn_osmo_pdch_tchf_act started. TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act(621)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchf_act(621)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchf_act(621)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchf_act-RSL(619)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(620)@1830904baf87: Final verdict of PTC: none TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL(619): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(620): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act(621): pass (none -> pass) MTC@1830904baf87: Test case TC_dyn_osmo_pdch_tchf_act finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass'. Sun Oct 20 05:47:14 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1914399) Waiting for packet dumper to finish... 1 (prev_count=1914399, count=1920009) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_act ------ Sun Oct 20 05:47:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_dyn_osmo_pdch_tchh_act started. TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act(625)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_act(625)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(625)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(625)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_act-RSL(623)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(624)@1830904baf87: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL(623): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(624): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act(625): pass (none -> pass) MTC@1830904baf87: Test case TC_dyn_osmo_pdch_tchh_act finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass'. Sun Oct 20 05:47:20 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1924822) Waiting for packet dumper to finish... 1 (prev_count=1924822, count=1929995) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act ------ Sun Oct 20 05:47:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_dyn_osmo_pdch_sdcch8_act started. TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act(629)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_act(629)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(628)@1830904baf87: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL(627): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(628): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act(629): pass (none -> pass) MTC@1830904baf87: Test case TC_dyn_osmo_pdch_sdcch8_act finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass'. Sun Oct 20 05:47:25 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1995648) Waiting for packet dumper to finish... 1 (prev_count=1995648, count=1996145) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act ------ Sun Oct 20 05:47:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_dyn_osmo_pdch_tchh_race_act started. TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act(633)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(634)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(633)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(633)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(634)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(634)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(633)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_race_act(634)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(632)@1830904baf87: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL(631): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(632): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(633): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(634): pass (pass -> pass) MTC@1830904baf87: Test case TC_dyn_osmo_pdch_tchh_race_act finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass'. Sun Oct 20 05:47:33 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2767687) Waiting for packet dumper to finish... 1 (prev_count=2767687, count=2768184) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act ------ Sun Oct 20 05:47:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_dyn_osmo_pdch_sdcch8_race_act started. TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act(638)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(639)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(640)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(641)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(642)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(643)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(644)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(645)@1830904baf87: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(638)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(638)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(638)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(639)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(639)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(640)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(640)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(639)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(640)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(641)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(641)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(641)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(642)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(642)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(642)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(643)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(643)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(644)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(644)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(643)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(644)@1830904baf87: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(645)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(645)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(645)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@1830904baf87: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(637)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(637): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(638): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(639): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(640): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(641): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(642): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(643): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(644): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(645): pass (pass -> pass) MTC@1830904baf87: Test case TC_dyn_osmo_pdch_sdcch8_race_act finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass'. Sun Oct 20 05:47:40 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2848570) Waiting for packet dumper to finish... 1 (prev_count=2848570, count=2853743) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act ------ Sun Oct 20 05:47:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_dyn_ipa_pdch_tchf_act started. TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act(649)@1830904baf87: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act(649)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(649)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(649)@1830904baf87: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act-RSL(647)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(648)@1830904baf87: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL(647): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(648): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act(649): pass (none -> pass) MTC@1830904baf87: Test case TC_dyn_ipa_pdch_tchf_act finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass'. Sun Oct 20 05:47:45 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1922049) Waiting for packet dumper to finish... 1 (prev_count=1922049, count=1922546) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack ------ Sun Oct 20 05:47:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack started. TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@1830904baf87: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@1830904baf87: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(652)@1830904baf87: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(652): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653): pass (none -> pass) MTC@1830904baf87: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass'. Sun Oct 20 05:47:51 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1920411) Waiting for packet dumper to finish... 1 (prev_count=1920411, count=1920908) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind'. ------ BTS_Tests.TC_rll_est_ind ------ Sun Oct 20 05:47:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_ind.pcap" >/data/BTS_Tests.TC_rll_est_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rll_est_ind started. TC_rll_est_ind-RSL-IPA(654)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rll_est_ind-RSL(655)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rll_est_ind-RSL(655)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_est_ind-RSL-IPA(654)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_rll_est_ind-RSL(655)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(655)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_ind-RSL-IPA(654)@1830904baf87: Established a new IPA connection (conn_id=5) MTC@1830904baf87: 2/4 transceiver(s) connected TC_rll_est_ind-RSL(655)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(655)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 3/4 transceiver(s) connected TC_rll_est_ind-RSL-IPA(654)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(655)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(657)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(657)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(658)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(658)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(659)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(659)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(660)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(660)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(661)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(661)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(662)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(662)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(663)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(663)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(664)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(664)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(665)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(665)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(666)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(666)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(667)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(667)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(668)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(668)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(668)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(668)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(669)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(669)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(669)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(669)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(670)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(670)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(670)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(670)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(671)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(671)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(671)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(671)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(672)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(672)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(672)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(672)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(673)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(673)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(673)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(673)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(674)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(674)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(674)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(674)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(675)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(675)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(675)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(675)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(676)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(676)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(676)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(676)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(677)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(677)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(677)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(677)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(678)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(678)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(678)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(678)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(679)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(679)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(679)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(679)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(680)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(680)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(680)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(680)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(681)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(681)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(681)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(681)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(682)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(682)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(682)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(682)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(683)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(683)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(683)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(683)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(684)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_ind(684)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(684)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(684)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_ind-RSL(655)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(656)@1830904baf87: Final verdict of PTC: none TC_rll_est_ind-RSL-IPA(654)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind-RSL-IPA(654): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind-RSL(655): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(656): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(657): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(658): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(659): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(660): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(661): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(662): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(663): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(664): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(665): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(666): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(667): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(668): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(669): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(670): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(671): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(672): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(673): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(674): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(675): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(676): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(677): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(678): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(679): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(680): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(681): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(682): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(683): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_ind(684): pass (pass -> pass) MTC@1830904baf87: Test case TC_rll_est_ind finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass'. Sun Oct 20 05:48:39 UTC 2024 ====== BTS_Tests.TC_rll_est_ind pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_est_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=43774089) Waiting for packet dumper to finish... 1 (prev_count=43774089, count=43774586) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3'. ------ BTS_Tests.TC_rll_est_req_DCCH_3 ------ Sun Oct 20 05:48:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rll_est_req_DCCH_3 started. TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_DCCH_3(688)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(688)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(688)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(688)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(688)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(688)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_req_DCCH_3(689)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(689)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(689)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(689)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(689)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(689)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_DCCH_3(690)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(690)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(690)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(690)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(690)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_req_DCCH_3(691)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(691)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(691)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(691)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(691)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_DCCH_3-RSL(686)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(687)@1830904baf87: Final verdict of PTC: none TC_rll_est_req_DCCH_3-RSL-IPA(685)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL-IPA(685): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL(686): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(687): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_est_req_DCCH_3(688): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_req_DCCH_3(689): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_req_DCCH_3(690): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_req_DCCH_3(691): pass (pass -> pass) MTC@1830904baf87: Test case TC_rll_est_req_DCCH_3 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass'. Sun Oct 20 05:48:50 UTC 2024 ====== BTS_Tests.TC_rll_est_req_DCCH_3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_est_req_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3482478) Waiting for packet dumper to finish... 1 (prev_count=3482478, count=3482975) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3'. ------ BTS_Tests.TC_rll_est_req_ACCH_3 ------ Sun Oct 20 05:48:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rll_est_req_ACCH_3 started. TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_ACCH_3(695)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(695)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(695)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_req_ACCH_3(696)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(696)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(696)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_ACCH_3(697)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(697)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(697)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(697)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(697)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(697)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_req_ACCH_3(698)@1830904baf87: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(698)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(698)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(698)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(698)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(698)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(698)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_ACCH_3-RSL(693)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(694)@1830904baf87: Final verdict of PTC: none TC_rll_est_req_ACCH_3-RSL-IPA(692)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL-IPA(692): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL(693): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(694): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_est_req_ACCH_3(695): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_req_ACCH_3(696): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_req_ACCH_3(697): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_est_req_ACCH_3(698): pass (pass -> pass) MTC@1830904baf87: Test case TC_rll_est_req_ACCH_3 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass'. Sun Oct 20 05:48:57 UTC 2024 ====== BTS_Tests.TC_rll_est_req_ACCH_3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_est_req_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3645414) Waiting for packet dumper to finish... 1 (prev_count=3645414, count=3645911) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_0 ------ Sun Oct 20 05:49:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rll_rel_ind_DCCH_0 started. TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_0(702)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(702)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(702)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(702)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(702)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_DCCH_0(703)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(703)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(703)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(703)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(703)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(703)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(703)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(703)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(703)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_0(704)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(704)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(704)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(704)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(704)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(704)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_DCCH_0(705)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(705)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(705)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(705)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(705)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(705)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(705)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_0-RSL(700)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(701)@1830904baf87: Final verdict of PTC: none TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL-IPA(699): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL(700): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(701): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_DCCH_0(702): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_DCCH_0(703): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_DCCH_0(704): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_DCCH_0(705): pass (pass -> pass) MTC@1830904baf87: Test case TC_rll_rel_ind_DCCH_0 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass'. Sun Oct 20 05:49:04 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_0 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3118031) Waiting for packet dumper to finish... 1 (prev_count=3118031, count=3123641) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_3 ------ Sun Oct 20 05:49:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rll_rel_ind_DCCH_3 started. TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_3(709)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(709)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(709)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(709)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(709)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_DCCH_3(710)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(710)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(710)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(710)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(710)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(710)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(710)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(710)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(710)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_3(711)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(711)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(711)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(711)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(711)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(711)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_DCCH_3(712)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(712)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(712)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(712)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(712)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(712)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(712)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_3-RSL(707)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(708)@1830904baf87: Final verdict of PTC: none TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL-IPA(706): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL(707): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(708): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_DCCH_3(709): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_DCCH_3(710): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_DCCH_3(711): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_DCCH_3(712): pass (pass -> pass) MTC@1830904baf87: Test case TC_rll_rel_ind_DCCH_3 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass'. Sun Oct 20 05:49:11 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3120658) Waiting for packet dumper to finish... 1 (prev_count=3120658, count=3121155) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 ------ Sun Oct 20 05:49:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rll_rel_ind_ACCH_0 started. TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(716)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(716)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(716)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(717)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(717)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(717)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_0(718)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(718)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(718)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(718)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(718)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_ACCH_0(719)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(719)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(719)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(719)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(719)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_ACCH_0-RSL(714)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(715)@1830904baf87: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL-IPA(713): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL(714): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(715): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_ACCH_0(716): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_ACCH_0(717): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_ACCH_0(718): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_ACCH_0(719): pass (pass -> pass) MTC@1830904baf87: Test case TC_rll_rel_ind_ACCH_0 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 pass'. Sun Oct 20 05:49:24 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_ACCH_0 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9330459) Waiting for packet dumper to finish... 1 (prev_count=9330459, count=9336197) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 ------ Sun Oct 20 05:49:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rll_rel_ind_ACCH_3 started. TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(723)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(723)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(723)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(724)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(724)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(724)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_3(725)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(725)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(725)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(725)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(725)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_ACCH_3(726)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(726)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(726)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(726)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(726)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_ACCH_3-RSL(721)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(722)@1830904baf87: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL-IPA(720): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL(721): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(722): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_ACCH_3(723): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_ACCH_3(724): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_ACCH_3(725): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_ind_ACCH_3(726): pass (pass -> pass) MTC@1830904baf87: Test case TC_rll_rel_ind_ACCH_3 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 pass'. Sun Oct 20 05:49:37 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_ACCH_3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9325750) Waiting for packet dumper to finish... 1 (prev_count=9325750, count=9326247) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req'. ------ BTS_Tests.TC_rll_rel_req ------ Sun Oct 20 05:49:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_req.pcap" >/data/BTS_Tests.TC_rll_rel_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rll_rel_req started. TC_rll_rel_req-RSL-IPA(727)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(728)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(727)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(728)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(727)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(728)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(728)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(728)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(728)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rll_rel_req-RSL(728)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(728)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(727)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(728)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(728)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rll_rel_req-RSL(728)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(728)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(728)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(728)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL(728)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(728)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(730)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(730)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(730)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(730)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(730)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(730)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(731)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(731)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(731)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(731)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(732)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(732)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(732)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(732)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(732)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(733)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(733)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(733)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(733)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(734)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(734)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(734)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(734)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(734)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(734)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(735)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(735)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(735)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(736)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(736)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(736)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(736)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(736)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(737)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(737)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(737)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(738)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(738)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(738)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(738)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(738)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(738)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(738)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(739)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(739)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(739)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(739)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(739)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(740)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(740)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(740)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(740)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(740)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(740)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(741)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(741)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(741)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(741)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(741)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(742)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(742)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(742)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(742)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(742)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(742)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(742)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(743)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(743)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(743)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(743)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(743)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(743)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(743)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(743)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(743)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(744)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(744)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(744)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(744)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(744)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(744)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(744)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(744)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(744)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(745)@1830904baf87: setverdict(pass): none -> pass TC_rll_rel_req(745)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(745)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(745)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(745)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(745)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(745)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(745)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(745)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_req-RSL(728)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(729)@1830904baf87: Final verdict of PTC: none TC_rll_rel_req-RSL-IPA(727)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req-RSL-IPA(727): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req-RSL(728): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(729): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(730): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(731): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(732): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(733): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(734): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(735): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(736): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(737): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(738): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(739): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(740): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(741): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(742): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(743): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(744): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_rel_req(745): pass (pass -> pass) MTC@1830904baf87: Test case TC_rll_rel_req finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req pass'. Sun Oct 20 05:50:02 UTC 2024 ====== BTS_Tests.TC_rll_rel_req pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_rel_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20891813) Waiting for packet dumper to finish... 1 (prev_count=20891813, count=20892310) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH'. ------ BTS_Tests.TC_rll_unit_data_req_DCCH ------ Sun Oct 20 05:50:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rll_unit_data_req_DCCH started. TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 3/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'A09E17C7D24C8CB42CBE74DF39CE46'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(749)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(749)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(749)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A09E17C7D24C8CB42CBE74DF39CE46'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(749)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(749)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(749)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'A09E17C7D24C8CB42CBE74DF39CE46'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(750)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(750)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(750)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A09E17C7D24C8CB42CBE74DF39CE46'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(750)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(750)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(750)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'A09E17C7D24C8CB42CBE74DF39CE46'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_DCCH(751)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(751)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A09E17C7D24C8CB42CBE74DF39CE46'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(751)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(751)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(751)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'A09E17C7D24C8CB42CBE74DF39CE46'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_DCCH(752)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(752)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(752)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A09E17C7D24C8CB42CBE74DF39CE46'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(752)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(752)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(752)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'A09E17C7D24C8CB42CBE74DF39CE46'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(753)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(753)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A09E17C7D24C8CB42CBE74DF39CE46'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(753)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(753)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(753)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'A09E17C7D24C8CB42CBE74DF39CE46'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(754)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(754)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A09E17C7D24C8CB42CBE74DF39CE46'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(754)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(754)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(754)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'A09E17C7D24C8CB42CBE74DF39CE46'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_DCCH(755)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(755)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A09E17C7D24C8CB42CBE74DF39CE46'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(755)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(755)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(755)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'A09E17C7D24C8CB42CBE74DF39CE46'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_DCCH(756)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(756)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'A09E17C7D24C8CB42CBE74DF39CE46'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(756)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(756)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(756)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_DCCH-RSL(747)@1830904baf87: Final verdict of PTC: none TC_rll_unit_data_req_DCCH-RSL-IPA(746)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(748)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL-IPA(746): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL(747): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(748): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_DCCH(749): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_DCCH(750): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_DCCH(751): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_DCCH(752): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_DCCH(753): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_DCCH(754): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_DCCH(755): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_DCCH(756): pass (pass -> pass) MTC@1830904baf87: Test case TC_rll_unit_data_req_DCCH finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass'. Sun Oct 20 05:50:08 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_DCCH pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_unit_data_req_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3056938) Waiting for packet dumper to finish... 1 (prev_count=3056938, count=3057435) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH'. ------ BTS_Tests.TC_rll_unit_data_req_ACCH ------ Sun Oct 20 05:50:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rll_unit_data_req_ACCH started. TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(760)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O } } TC_rll_unit_data_req_ACCH(760)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(760)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(760)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(761)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O } } TC_rll_unit_data_req_ACCH(761)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(761)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(761)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_ACCH(762)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O } } TC_rll_unit_data_req_ACCH(762)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(762)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(762)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_ACCH(763)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(763)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O } } TC_rll_unit_data_req_ACCH(763)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(763)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(763)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(764)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(764)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(764)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O } } TC_rll_unit_data_req_ACCH(764)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(764)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(764)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(765)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(765)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(765)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(765)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O } } TC_rll_unit_data_req_ACCH(765)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(765)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(765)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_ACCH(766)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(766)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(766)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(766)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O } } TC_rll_unit_data_req_ACCH(766)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(766)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(766)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_ACCH(767)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(767)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(767)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(767)@1830904baf87: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '9A4DCBB4C589E410F3F86F1330CDC78126B32A'O } } TC_rll_unit_data_req_ACCH(767)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(767)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(767)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_ACCH-RSL(758)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(759)@1830904baf87: Final verdict of PTC: none TC_rll_unit_data_req_ACCH-RSL-IPA(757)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL-IPA(757): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL(758): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(759): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_ACCH(760): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_ACCH(761): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_ACCH(762): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_ACCH(763): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_ACCH(764): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_ACCH(765): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_ACCH(766): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_req_ACCH(767): pass (pass -> pass) MTC@1830904baf87: Test case TC_rll_unit_data_req_ACCH finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass'. Sun Oct 20 05:50:18 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_ACCH pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_unit_data_req_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5524565) Waiting for packet dumper to finish... 1 (prev_count=5524565, count=5525062) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH'. ------ BTS_Tests.TC_rll_unit_data_ind_DCCH ------ Sun Oct 20 05:50:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rll_unit_data_ind_DCCH started. TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: Established a new IPA connection (conn_id=4) MTC@1830904baf87: 1/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5F84A59500E8299EB4AF7BDF8102C4604DB0ABF8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(771)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(771)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(771)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(771)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5F84A59500E8299EB4AF7BDF8102C4604DB0ABF8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(772)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(772)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(772)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(772)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5F84A59500E8299EB4AF7BDF8102C4604DB0ABF8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_DCCH(773)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(773)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(773)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(773)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5F84A59500E8299EB4AF7BDF8102C4604DB0ABF8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_DCCH(774)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(774)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(774)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(774)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5F84A59500E8299EB4AF7BDF8102C4604DB0ABF8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(775)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(775)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(775)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(775)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5F84A59500E8299EB4AF7BDF8102C4604DB0ABF8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(776)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(776)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(776)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(776)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5F84A59500E8299EB4AF7BDF8102C4604DB0ABF8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_DCCH(777)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(777)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(777)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(777)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5F84A59500E8299EB4AF7BDF8102C4604DB0ABF8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_DCCH(778)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(778)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(778)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(778)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_DCCH-RSL(769)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(770)@1830904baf87: Final verdict of PTC: none TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL-IPA(768): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL(769): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(770): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_DCCH(771): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_DCCH(772): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_DCCH(773): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_DCCH(774): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_DCCH(775): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_DCCH(776): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_DCCH(777): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_DCCH(778): pass (pass -> pass) MTC@1830904baf87: Test case TC_rll_unit_data_ind_DCCH finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass'. Sun Oct 20 05:50:24 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_DCCH pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_unit_data_ind_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3105258) Waiting for packet dumper to finish... 1 (prev_count=3105258, count=3110868) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH'. ------ BTS_Tests.TC_rll_unit_data_ind_ACCH ------ Sun Oct 20 05:50:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rll_unit_data_ind_ACCH started. TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5927CB0265A891AE1EA388B245F6DACB0B3C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(782)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(782)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(782)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(782)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5927CB0265A891AE1EA388B245F6DACB0B3C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(783)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(783)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(783)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(783)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5927CB0265A891AE1EA388B245F6DACB0B3C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_ACCH(784)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(784)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(784)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(784)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5927CB0265A891AE1EA388B245F6DACB0B3C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_ACCH(785)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(785)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(785)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(785)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5927CB0265A891AE1EA388B245F6DACB0B3C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(786)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(786)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(786)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(786)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5927CB0265A891AE1EA388B245F6DACB0B3C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(787)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(787)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(787)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(787)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5927CB0265A891AE1EA388B245F6DACB0B3C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_ACCH(788)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(788)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(788)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(788)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5927CB0265A891AE1EA388B245F6DACB0B3C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_ACCH(789)@1830904baf87: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(789)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(789)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(789)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_ACCH-RSL(780)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(781)@1830904baf87: Final verdict of PTC: none TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL-IPA(779): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL(780): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(781): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_ACCH(782): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_ACCH(783): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_ACCH(784): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_ACCH(785): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_ACCH(786): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_ACCH(787): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_ACCH(788): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rll_unit_data_ind_ACCH(789): pass (pass -> pass) MTC@1830904baf87: Test case TC_rll_unit_data_ind_ACCH finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass'. Sun Oct 20 05:50:37 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_ACCH pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_unit_data_ind_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9337539) Waiting for packet dumper to finish... 1 (prev_count=9337539, count=9338036) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51'. ------ BTS_Tests.TC_chan_act_a51 ------ Sun Oct 20 05:50:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a51.pcap" >/data/BTS_Tests.TC_chan_act_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_chan_act_a51 started. TC_chan_act_a51-RSL-IPA(790)@1830904baf87: Established a new IPA connection (conn_id=2) TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(791)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(790)@1830904baf87: Established a new IPA connection (conn_id=3) TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(791)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(790)@1830904baf87: Established a new IPA connection (conn_id=4) TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(791)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(791)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: Established a new IPA connection (conn_id=5) TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(791)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(791)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_chan_act_a51-RSL(791)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(791)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(791)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(791)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(791)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_chan_act_a51-RSL-IPA(790)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(791)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(791)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_chan_act_a51-RSL(791)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(791)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(791)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_chan_act_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a51(793)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a51(793)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a51(793)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a51(793)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(793)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(793)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(793)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_chan_act_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a51(794)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a51(794)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a51(794)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(794)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(794)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(794)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_chan_act_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a51(795)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a51(795)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a51(795)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(795)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(795)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(795)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_chan_act_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a51(796)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a51(796)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a51(796)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(796)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(796)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(796)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a51-RSL(791)@1830904baf87: Final verdict of PTC: none TC_chan_act_a51-RSL-IPA(790)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(792)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_chan_act_a51-RSL-IPA(790): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a51-RSL(791): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(792): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a51(793): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a51(794): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a51(795): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a51(796): pass (pass -> pass) MTC@1830904baf87: Test case TC_chan_act_a51 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass'. Sun Oct 20 05:50:44 UTC 2024 ====== BTS_Tests.TC_chan_act_a51 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2681131) Waiting for packet dumper to finish... 1 (prev_count=2681131, count=2682065) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52'. ------ BTS_Tests.TC_chan_act_a52 ------ Sun Oct 20 05:50:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a52.pcap" >/data/BTS_Tests.TC_chan_act_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_chan_act_a52 started. TC_chan_act_a52-RSL-IPA(797)@1830904baf87: Established a new IPA connection (conn_id=2) TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(798)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(797)@1830904baf87: Established a new IPA connection (conn_id=3) TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(798)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(797)@1830904baf87: Established a new IPA connection (conn_id=4) TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(798)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(798)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: Established a new IPA connection (conn_id=5) TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(798)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(798)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_chan_act_a52-RSL(798)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(797)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(798)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL(798)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(798)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_chan_act_a52-RSL(798)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(798)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(798)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_chan_act_a52-RSL(798)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(798)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(798)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_chan_act_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a52(800)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a52(800)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a52(800)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a52(800)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(800)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(800)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(800)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_chan_act_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a52(801)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a52(801)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a52(801)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(801)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(801)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(801)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_chan_act_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a52(802)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a52(802)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a52(802)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(802)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(802)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(802)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_chan_act_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a52(803)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a52(803)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a52(803)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(803)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(803)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(803)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a52-RSL(798)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(799)@1830904baf87: Final verdict of PTC: none TC_chan_act_a52-RSL-IPA(797)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_chan_act_a52-RSL-IPA(797): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a52-RSL(798): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(799): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a52(800): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a52(801): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a52(802): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a52(803): pass (pass -> pass) MTC@1830904baf87: Test case TC_chan_act_a52 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass'. Sun Oct 20 05:50:50 UTC 2024 ====== BTS_Tests.TC_chan_act_a52 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2689864) Waiting for packet dumper to finish... 1 (prev_count=2689864, count=2690361) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53'. ------ BTS_Tests.TC_chan_act_a53 ------ Sun Oct 20 05:50:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a53.pcap" >/data/BTS_Tests.TC_chan_act_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_chan_act_a53 started. TC_chan_act_a53-RSL-IPA(804)@1830904baf87: Established a new IPA connection (conn_id=2) TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(805)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(804)@1830904baf87: Established a new IPA connection (conn_id=3) TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(805)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(804)@1830904baf87: Established a new IPA connection (conn_id=4) TC_chan_act_a53-RSL(805)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(805)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(805)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(805)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_chan_act_a53-RSL-IPA(804)@1830904baf87: Established a new IPA connection (conn_id=5) TC_chan_act_a53-RSL(805)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(805)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(804)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(805)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(805)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_chan_act_a53-RSL(805)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(805)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(805)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_chan_act_a53-RSL(805)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(805)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(805)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_chan_act_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a53(807)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a53(807)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a53(807)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a53(807)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(807)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(807)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(807)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_chan_act_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a53(808)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a53(808)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a53(808)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(808)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(808)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(808)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_chan_act_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a53(809)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a53(809)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a53(809)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(809)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(809)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(809)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_chan_act_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a53(810)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a53(810)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a53(810)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(810)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(810)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(810)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 IPA-CTRL-CLI-IPA(806)@1830904baf87: Final verdict of PTC: none TC_chan_act_a53-RSL(805)@1830904baf87: Final verdict of PTC: none TC_chan_act_a53-RSL-IPA(804)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_chan_act_a53-RSL-IPA(804): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a53-RSL(805): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(806): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a53(807): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a53(808): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a53(809): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a53(810): pass (pass -> pass) MTC@1830904baf87: Test case TC_chan_act_a53 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass'. Sun Oct 20 05:50:57 UTC 2024 ====== BTS_Tests.TC_chan_act_a53 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2687036) Waiting for packet dumper to finish... 1 (prev_count=2687036, count=2687533) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54'. ------ BTS_Tests.TC_chan_act_a54 ------ Sun Oct 20 05:51:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a54.pcap" >/data/BTS_Tests.TC_chan_act_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_chan_act_a54 started. TC_chan_act_a54-RSL-IPA(811)@1830904baf87: Established a new IPA connection (conn_id=2) TC_chan_act_a54-RSL(812)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL-IPA(811)@1830904baf87: Established a new IPA connection (conn_id=3) TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(812)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a54-RSL-IPA(811)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(812)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(812)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(812)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_a54-RSL-IPA(811)@1830904baf87: Established a new IPA connection (conn_id=4) TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(812)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a54-RSL-IPA(811)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(812)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_chan_act_a54-RSL-IPA(811)@1830904baf87: Established a new IPA connection (conn_id=5) TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(812)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(812)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_chan_act_a54-RSL-IPA(811)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(812)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(812)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL(812)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(812)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a54-RSL-IPA(811)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_chan_act_a54-RSL-IPA(811)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(812)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(812)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(812)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_chan_act_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a54(814)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a54(814)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(814)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a54(814)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(814)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(814)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(814)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_chan_act_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a54(815)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a54(815)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a54(815)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(815)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(815)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(815)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_chan_act_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a54(816)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a54(816)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a54(816)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(816)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(816)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(816)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_chan_act_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a54(817)@1830904baf87: setverdict(pass): none -> pass TC_chan_act_a54(817)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'A5AA8622357E1BD1300941A78E10B0228B1CD7C2'O, padding := ''O } } TC_chan_act_a54(817)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(817)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(817)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(817)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a54-RSL(812)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(813)@1830904baf87: Final verdict of PTC: none TC_chan_act_a54-RSL-IPA(811)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_chan_act_a54-RSL-IPA(811): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a54-RSL(812): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(813): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a54(814): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a54(815): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a54(816): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_chan_act_a54(817): pass (pass -> pass) MTC@1830904baf87: Test case TC_chan_act_a54 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass'. Sun Oct 20 05:51:03 UTC 2024 ====== BTS_Tests.TC_chan_act_a54 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2682163) Waiting for packet dumper to finish... 1 (prev_count=2682163, count=2682660) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51'. ------ BTS_Tests.TC_encr_cmd_a51 ------ Sun Oct 20 05:51:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a51.pcap" >/data/BTS_Tests.TC_encr_cmd_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_encr_cmd_a51 started. TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: Established a new IPA connection (conn_id=2) TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(819)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: Established a new IPA connection (conn_id=3) TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(819)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: Established a new IPA connection (conn_id=4) TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(819)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(819)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: Established a new IPA connection (conn_id=5) TC_encr_cmd_a51-RSL(819)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(819)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_encr_cmd_a51-RSL(819)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(819)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(819)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(819)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(819)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(819)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(819)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_encr_cmd_a51-RSL(819)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a51-RSL(819)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(819)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_encr_cmd_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a51(821)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a51(821)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(821)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(821)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(821)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_encr_cmd_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a51(822)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a51(822)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(822)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(822)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(822)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a51(823)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a51(823)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(823)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(823)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(823)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(823)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(823)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(823)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(823)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a51(824)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a51(824)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(824)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(824)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(824)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(824)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(824)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(824)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a51-RSL(819)@1830904baf87: Final verdict of PTC: none TC_encr_cmd_a51-RSL-IPA(818)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(820)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a51-RSL-IPA(818): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a51-RSL(819): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(820): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a51(821): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a51(822): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a51(823): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a51(824): pass (pass -> pass) MTC@1830904baf87: Test case TC_encr_cmd_a51 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass'. Sun Oct 20 05:51:12 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a51 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_encr_cmd_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4850682) Waiting for packet dumper to finish... 1 (prev_count=4850682, count=4851179) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52'. ------ BTS_Tests.TC_encr_cmd_a52 ------ Sun Oct 20 05:51:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a52.pcap" >/data/BTS_Tests.TC_encr_cmd_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_encr_cmd_a52 started. TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: Established a new IPA connection (conn_id=2) TC_encr_cmd_a52-RSL(826)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: Established a new IPA connection (conn_id=3) TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(826)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: Established a new IPA connection (conn_id=4) TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(826)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: Established a new IPA connection (conn_id=5) TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(826)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(826)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_encr_cmd_a52-RSL(826)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(826)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(826)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL(826)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(826)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(826)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL(826)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(826)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_encr_cmd_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a52(828)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a52(828)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(828)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(828)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(828)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_encr_cmd_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a52(829)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a52(829)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(829)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(829)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(829)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a52(830)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a52(830)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(830)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(830)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(830)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(830)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(830)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(830)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(830)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a52(831)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a52(831)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(831)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(831)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(831)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(831)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(831)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(831)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a52-RSL(826)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(827)@1830904baf87: Final verdict of PTC: none TC_encr_cmd_a52-RSL-IPA(825)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a52-RSL-IPA(825): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a52-RSL(826): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(827): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a52(828): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a52(829): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a52(830): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a52(831): pass (pass -> pass) MTC@1830904baf87: Test case TC_encr_cmd_a52 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass'. Sun Oct 20 05:51:20 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a52 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_encr_cmd_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4852061) Waiting for packet dumper to finish... 1 (prev_count=4852061, count=4852686) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53'. ------ BTS_Tests.TC_encr_cmd_a53 ------ Sun Oct 20 05:51:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a53.pcap" >/data/BTS_Tests.TC_encr_cmd_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_encr_cmd_a53 started. TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: Established a new IPA connection (conn_id=2) TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(833)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: Established a new IPA connection (conn_id=3) TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(833)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: Established a new IPA connection (conn_id=4) TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(833)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(833)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL(833)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: Established a new IPA connection (conn_id=5) TC_encr_cmd_a53-RSL(833)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_encr_cmd_a53-RSL(833)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a53-RSL(833)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(833)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(833)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_encr_cmd_a53-RSL(833)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(833)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(833)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_encr_cmd_a53-RSL(833)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(833)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(833)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_encr_cmd_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a53(835)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a53(835)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(835)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(835)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(835)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_encr_cmd_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a53(836)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a53(836)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(836)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(836)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(836)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a53(837)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a53(837)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(837)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(837)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(837)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(837)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(837)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(837)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(837)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a53(838)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a53(838)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(838)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(838)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(838)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(838)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(838)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(838)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a53-RSL(833)@1830904baf87: Final verdict of PTC: none TC_encr_cmd_a53-RSL-IPA(832)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(834)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a53-RSL-IPA(832): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a53-RSL(833): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(834): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a53(835): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a53(836): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a53(837): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a53(838): pass (pass -> pass) MTC@1830904baf87: Test case TC_encr_cmd_a53 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass'. Sun Oct 20 05:51:29 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a53 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_encr_cmd_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4850050) Waiting for packet dumper to finish... 1 (prev_count=4850050, count=4855223) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54'. ------ BTS_Tests.TC_encr_cmd_a54 ------ Sun Oct 20 05:51:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a54.pcap" >/data/BTS_Tests.TC_encr_cmd_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_encr_cmd_a54 started. TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: Established a new IPA connection (conn_id=2) TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(840)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: Established a new IPA connection (conn_id=3) TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(840)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: Established a new IPA connection (conn_id=4) TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(840)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(840)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: Established a new IPA connection (conn_id=5) TC_encr_cmd_a54-RSL(840)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(840)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_encr_cmd_a54-RSL(840)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(840)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(840)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(840)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(840)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(840)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(840)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_encr_cmd_a54-RSL(840)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(840)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(840)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_encr_cmd_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a54(842)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a54(842)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(842)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(842)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(842)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_encr_cmd_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a54(843)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a54(843)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(843)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(843)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(843)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a54(844)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a54(844)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(844)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(844)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(844)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(844)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(844)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(844)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(844)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a54(845)@1830904baf87: setverdict(pass): none -> pass TC_encr_cmd_a54(845)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(845)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(845)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(845)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(845)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(845)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '228B1CD7C25FBC77713B7673FA943B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(845)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a54-RSL(840)@1830904baf87: Final verdict of PTC: none TC_encr_cmd_a54-RSL-IPA(839)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(841)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a54-RSL-IPA(839): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a54-RSL(840): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(841): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a54(842): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a54(843): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a54(844): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_encr_cmd_a54(845): pass (pass -> pass) MTC@1830904baf87: Test case TC_encr_cmd_a54 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass'. Sun Oct 20 05:51:38 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a54 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_encr_cmd_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4849599) Waiting for packet dumper to finish... 1 (prev_count=4849599, count=4850096) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc'. ------ BTS_Tests.TC_err_rep_wrong_mdisc ------ Sun Oct 20 05:51:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap" >/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_err_rep_wrong_mdisc started. TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc(849)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":5093 TC_err_rep_wrong_mdisc-RSL(847)@1830904baf87: Final verdict of PTC: none TC_err_rep_wrong_mdisc-RSL-IPA(846)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(848)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL-IPA(846): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL(847): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(848): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_err_rep_wrong_mdisc(849): none (pass -> pass) MTC@1830904baf87: Test case TC_err_rep_wrong_mdisc finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass'. Sun Oct 20 05:51:43 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_mdisc pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_err_rep_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1892506) Waiting for packet dumper to finish... 1 (prev_count=1892506, count=1893003) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type'. ------ BTS_Tests.TC_err_rep_wrong_msg_type ------ Sun Oct 20 05:51:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap" >/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_err_rep_wrong_msg_type started. TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(853)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(854)@1830904baf87: setverdict(pass): none -> pass TC_err_rep_wrong_msg_type(854)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type-RSL(851)@1830904baf87: Final verdict of PTC: none TC_err_rep_wrong_msg_type-RSL-IPA(850)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(852)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL-IPA(850): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL(851): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(852): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_err_rep_wrong_msg_type(853): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_err_rep_wrong_msg_type(854): pass (pass -> pass) MTC@1830904baf87: Test case TC_err_rep_wrong_msg_type finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass'. Sun Oct 20 05:51:49 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_msg_type pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_err_rep_wrong_msg_type.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1917920) Waiting for packet dumper to finish... 1 (prev_count=1917920, count=1918417) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence'. ------ BTS_Tests.TC_err_rep_wrong_sequence ------ Sun Oct 20 05:51:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap" >/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_err_rep_wrong_sequence started. TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence(858)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: setverdict(pass): none -> pass TC_err_rep_wrong_sequence-RSL(856)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(857)@1830904baf87: Final verdict of PTC: none TC_err_rep_wrong_sequence-RSL-IPA(855)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_err_rep_wrong_sequence-RSL-IPA(855): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_err_rep_wrong_sequence-RSL(856): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(857): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_err_rep_wrong_sequence(858): none (pass -> pass) MTC@1830904baf87: Test case TC_err_rep_wrong_sequence finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass'. Sun Oct 20 05:51:54 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_sequence pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_err_rep_wrong_sequence.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1894830) Waiting for packet dumper to finish... 1 (prev_count=1894830, count=1900440) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest'. ------ BTS_Tests.TC_lapdm_selftest ------ Sun Oct 20 05:51:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_lapdm_selftest.pcap" >/data/BTS_Tests.TC_lapdm_selftest.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_lapdm_selftest started. MTC@1830904baf87: "ui_s0_empty": matched MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: "ui_s3_empty": matched MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: "sabm_s0_empty": matched MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: "sabm_s0_l3": matched MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: "rr_s0_7": matched MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: "I/0/0": matched MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: "I/7/0": matched MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: No PTCs were created. MTC@1830904baf87: Test case TC_lapdm_selftest finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass'. Sun Oct 20 05:51:59 UTC 2024 ====== BTS_Tests.TC_lapdm_selftest pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_lapdm_selftest.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=992694) Waiting for packet dumper to finish... 1 (prev_count=992694, count=1093414) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame ------ Sun Oct 20 05:52:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_tch_sign_l2_fill_frame started. TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame(862)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(862)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(863)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(863)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(863)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(863)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(864)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(864)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(864)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(864)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(865)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(865)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(865)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(865)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(866)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(866)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(866)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(866)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(867)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(867)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(867)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(867)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(868)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(868)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(868)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(868)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(869)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(869)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(869)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(869)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(870)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(870)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(870)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(870)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(871)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(871)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(871)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(871)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(872)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(872)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(872)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(872)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(873)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(873)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(873)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(873)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(874)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(874)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(874)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(874)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(875)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(875)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(875)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(875)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(876)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(876)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(876)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(876)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(877)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(877)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(877)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(877)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(878)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(878)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(878)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(878)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(879)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(879)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(879)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(879)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(880)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(880)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(880)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(880)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(881)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(881)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(881)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(881)@1830904baf87: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame-RSL(860)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(861)@1830904baf87: Final verdict of PTC: none TC_tch_sign_l2_fill_frame-RSL-IPA(859)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL-IPA(859): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL(860): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(861): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(862): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(863): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(864): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(865): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(866): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(867): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(868): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(869): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(870): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(871): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(872): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(873): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(874): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(875): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(876): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(877): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(878): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(879): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(880): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame(881): pass (pass -> pass) MTC@1830904baf87: Test case TC_tch_sign_l2_fill_frame finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass'. Sun Oct 20 05:52:22 UTC 2024 ====== BTS_Tests.TC_tch_sign_l2_fill_frame pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17679849) Waiting for packet dumper to finish... 1 (prev_count=17679849, count=17680346) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd ------ Sun Oct 20 05:52:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_tch_sign_l2_fill_frame_dtxd started. TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd(885)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(885)@1830904baf87: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)", new component reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(885)@1830904baf87: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(886)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(886)@1830904baf87: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)", new component reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(886)@1830904baf87: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(887)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(887)@1830904baf87: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)", new component reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(887)@1830904baf87: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(888)@1830904baf87: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(888)@1830904baf87: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)", new component reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd(888)@1830904baf87: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@1830904baf87: Final verdict of PTC: none TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(884)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL(883): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(884): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(885): fail (none -> fail) reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(886): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(887): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" MTC@1830904baf87: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(888): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" MTC@1830904baf87: Test case TC_tch_sign_l2_fill_frame_dtxd finished. Verdict: fail reason: Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail'. Sun Oct 20 05:52:28 UTC 2024 ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2860506) Waiting for packet dumper to finish... 1 (prev_count=2860506, count=2861003) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping'. ------ BTS_Tests.TC_chopped_ipa_ping ------ Sun Oct 20 05:52:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_ping.pcap" >/data/BTS_Tests.TC_chopped_ipa_ping.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_chopped_ipa_ping started. 889@1830904baf87: sending byte '00'O 889@1830904baf87: sending byte '01'O 889@1830904baf87: sending byte 'FE'O 889@1830904baf87: sending byte '00'O 889@1830904baf87: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 889@1830904baf87: received pong from "172.18.32.20" port 34213: '0001FE01'O 889@1830904baf87: setverdict(pass): none -> pass 889@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC with component reference 889: pass (none -> pass) MTC@1830904baf87: Test case TC_chopped_ipa_ping finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass'. Sun Oct 20 05:52:57 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_ping pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chopped_ipa_ping.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11855080) Waiting for packet dumper to finish... 1 (prev_count=11855080, count=11855577) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload'. ------ BTS_Tests.TC_chopped_ipa_payload ------ Sun Oct 20 05:53:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_payload.pcap" >/data/BTS_Tests.TC_chopped_ipa_payload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_chopped_ipa_payload started. 890@1830904baf87: sending byte '04'O 890@1830904baf87: sending byte '01'O 890@1830904baf87: sending byte '08'O ("\b") 890@1830904baf87: sending byte '01'O 890@1830904baf87: sending byte '07'O ("\a") 890@1830904baf87: sending byte '01'O 890@1830904baf87: sending byte '02'O 890@1830904baf87: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 890@1830904baf87: received IPA message from "172.18.32.20" port 46215: '0026FE05000A08313233342F302F300000130730323A34323A61633A31323A32303A313400000202000001FE06'O 890@1830904baf87: setverdict(pass): none -> pass 890@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC with component reference 890: pass (none -> pass) MTC@1830904baf87: Test case TC_chopped_ipa_payload finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass'. Sun Oct 20 05:53:44 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_payload pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chopped_ipa_payload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20314316) Waiting for packet dumper to finish... 1 (prev_count=20314316, count=20320054) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant'. ------ BTS_Tests.TC_ms_pwr_ctrl_constant ------ Sun Oct 20 05:53:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_ms_pwr_ctrl_constant started. TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: TC_ms_pwr_ctrl_constant: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_constant(894)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(894)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@1830904baf87: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@1830904baf87: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(894)@1830904baf87: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@1830904baf87: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@1830904baf87: TC_ms_pwr_ctrl_constant: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ms_pwr_ctrl_constant(895)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(895)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@1830904baf87: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@1830904baf87: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(895)@1830904baf87: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@1830904baf87: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@1830904baf87: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_constant(896)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(896)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@1830904baf87: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@1830904baf87: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(896)@1830904baf87: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@1830904baf87: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@1830904baf87: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ms_pwr_ctrl_constant(897)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(897)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@1830904baf87: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@1830904baf87: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_constant(897)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8193 TC_ms_pwr_ctrl_constant-RSL(892)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(893)@1830904baf87: Final verdict of PTC: none TC_ms_pwr_ctrl_constant-RSL-IPA(891)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL-IPA(891): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL(892): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(893): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ms_pwr_ctrl_constant(894): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@1830904baf87: Local verdict of PTC TC_ms_pwr_ctrl_constant(895): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@1830904baf87: Local verdict of PTC TC_ms_pwr_ctrl_constant(896): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@1830904baf87: Local verdict of PTC TC_ms_pwr_ctrl_constant(897): pass (fail -> fail) MTC@1830904baf87: Test case TC_ms_pwr_ctrl_constant finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail'. Sun Oct 20 05:54:05 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_constant fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_constant.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17125818) Waiting for packet dumper to finish... 1 (prev_count=17125818, count=17126315) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma'. ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma ------ Sun Oct 20 05:54:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_ms_pwr_ctrl_pf_ewma started. TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@1830904baf87: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@1830904baf87: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@1830904baf87: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@1830904baf87: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(903)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(904)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8260 TC_ms_pwr_ctrl_pf_ewma-RSL(899)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(900)@1830904baf87: Final verdict of PTC: none TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL(899): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(900): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(901): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@1830904baf87: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(902): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@1830904baf87: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(903): pass (fail -> fail) MTC@1830904baf87: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(904): pass (fail -> fail) MTC@1830904baf87: Test case TC_ms_pwr_ctrl_pf_ewma finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail'. Sun Oct 20 05:54:41 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32071749) Waiting for packet dumper to finish... 1 (prev_count=32071749, count=32072246) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf'. ------ BTS_Tests.TC_speech_no_rtp_tchf ------ Sun Oct 20 05:54:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_speech_no_rtp_tchf started. TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(908)@1830904baf87: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(908)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(908)@1830904baf87: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@1830904baf87: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@1830904baf87: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@1830904baf87: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@1830904baf87: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(908)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(908)@1830904baf87: Final verdict of PTC: pass TC_speech_no_rtp_tchf(909)@1830904baf87: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(909)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(909)@1830904baf87: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@1830904baf87: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@1830904baf87: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@1830904baf87: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_speech_no_rtp_tchf(909)@1830904baf87: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_speech_no_rtp_tchf(909)@1830904baf87: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_speech_no_rtp_tchf(909)@1830904baf87: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@1830904baf87: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(909)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(909)@1830904baf87: Final verdict of PTC: pass TC_speech_no_rtp_tchf(910)@1830904baf87: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(910)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchf(910)@1830904baf87: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1490, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@1830904baf87: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1495, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@1830904baf87: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1499, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@1830904baf87: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1503, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@1830904baf87: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1508, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(910)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(910)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8332 TC_speech_no_rtp_tchf-RSL(906)@1830904baf87: Final verdict of PTC: none TC_speech_no_rtp_tchf-RSL-IPA(905)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(907)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_speech_no_rtp_tchf-RSL-IPA(905): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_speech_no_rtp_tchf-RSL(906): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(907): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_speech_no_rtp_tchf(908): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_no_rtp_tchf(909): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_no_rtp_tchf(910): pass (pass -> pass) MTC@1830904baf87: Test case TC_speech_no_rtp_tchf finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass'. Sun Oct 20 05:54:53 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchf pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_speech_no_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9384856) Waiting for packet dumper to finish... 1 (prev_count=9384856, count=9385353) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh'. ------ BTS_Tests.TC_speech_no_rtp_tchh ------ Sun Oct 20 05:54:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_speech_no_rtp_tchh started. TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(914)@1830904baf87: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(914)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(914)@1830904baf87: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@1830904baf87: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@1830904baf87: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@1830904baf87: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@1830904baf87: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(914)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(914)@1830904baf87: Final verdict of PTC: pass TC_speech_no_rtp_tchh(915)@1830904baf87: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(915)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchh(915)@1830904baf87: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@1830904baf87: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@1830904baf87: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@1830904baf87: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@1830904baf87: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1044, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(915)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(915)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8351 TC_speech_no_rtp_tchh-RSL(912)@1830904baf87: Final verdict of PTC: none TC_speech_no_rtp_tchh-RSL-IPA(911)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(913)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_speech_no_rtp_tchh-RSL-IPA(911): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_speech_no_rtp_tchh-RSL(912): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(913): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_speech_no_rtp_tchh(914): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_no_rtp_tchh(915): pass (pass -> pass) MTC@1830904baf87: Test case TC_speech_no_rtp_tchh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass'. Sun Oct 20 05:55:03 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_speech_no_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6625265) Waiting for packet dumper to finish... 1 (prev_count=6625265, count=6625762) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf'. ------ BTS_Tests.TC_speech_rtp_tchf ------ Sun Oct 20 05:55:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_speech_rtp_tchf started. TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(917)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(917)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(917)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchf-RSL(917)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL(917)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(917)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL(917)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL(917)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(917)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(917)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(917)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received MTC@1830904baf87: 2/4 transceiver(s) connected TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(917)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(917)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(917)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(917)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(917)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(919)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchf(919)@1830904baf87: setverdict(pass): none -> pass TC_speech_rtp_tchf(919)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(919)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(919)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(919)@1830904baf87: TCH received (len=33): 'D0A5AA8622357EFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(919)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(919)@1830904baf87: TCH received (len=33): 'D0A5AA8622357EFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(919)@1830904baf87: TCH received (len=33): 'D0A5AA8622357EFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(919)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(919)@1830904baf87: Final verdict of PTC: pass TC_speech_rtp_tchf(921)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM2 (17) } } TC_speech_rtp_tchf(921)@1830904baf87: setverdict(pass): none -> pass TC_speech_rtp_tchf(921)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(921)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(921)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(921)@1830904baf87: TCH received (len=31): 'C0A5AA8622357E000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(921)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(921)@1830904baf87: TCH received (len=31): 'C0A5AA8622357E000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(921)@1830904baf87: TCH received (len=31): 'C0A5AA8622357E000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(921)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(921)@1830904baf87: Final verdict of PTC: pass TC_speech_rtp_tchf(923)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchf(923)@1830904baf87: setverdict(pass): none -> pass TC_speech_rtp_tchf(923)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchf(923)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(923)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(923)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_rtp_tchf(923)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(923)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_rtp_tchf(923)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_rtp_tchf(923)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(923)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8472 TC_speech_rtp_tchf-RSL(917)@1830904baf87: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(922)@1830904baf87: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(920)@1830904baf87: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(924)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(918)@1830904baf87: Final verdict of PTC: none TC_speech_rtp_tchf-RSL-IPA(916)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchf-RSL-IPA(916): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchf-RSL(917): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(918): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchf(919): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(920): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchf(921): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(922): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchf(923): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(924): none (pass -> pass) MTC@1830904baf87: Test case TC_speech_rtp_tchf finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass'. Sun Oct 20 05:55:15 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchf pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_speech_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9275548) Waiting for packet dumper to finish... 1 (prev_count=9275548, count=9276045) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh'. ------ BTS_Tests.TC_speech_rtp_tchh ------ Sun Oct 20 05:55:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_speech_rtp_tchh started. TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchh-RSL(926)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(926)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(926)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL(926)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(926)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(926)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(926)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(926)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(926)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(928)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchh(928)@1830904baf87: setverdict(pass): none -> pass TC_speech_rtp_tchh(928)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(928)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(928)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(928)@1830904baf87: TCH received (len=15): '00A5AA8622357E0000000000000000'O TC_speech_rtp_tchh(928)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(928)@1830904baf87: TCH received (len=15): '00A5AA8622357E0000000000000000'O TC_speech_rtp_tchh(928)@1830904baf87: TCH received (len=15): '00A5AA8622357E0000000000000000'O TC_speech_rtp_tchh(928)@1830904baf87: TCH received (len=15): '00A5AA8622357E0000000000000000'O TC_speech_rtp_tchh(928)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(928)@1830904baf87: Final verdict of PTC: pass TC_speech_rtp_tchh(930)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchh(930)@1830904baf87: setverdict(pass): none -> pass TC_speech_rtp_tchh(930)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchh(930)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(930)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(930)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_rtp_tchh(930)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(930)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_rtp_tchh(930)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_rtp_tchh(930)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_rtp_tchh(930)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(930)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8491 TC_speech_rtp_tchh-RSL(926)@1830904baf87: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(929)@1830904baf87: Final verdict of PTC: none TC_speech_rtp_tchh-RSL-IPA(925)@1830904baf87: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(931)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(927)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchh-RSL-IPA(925): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchh-RSL(926): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(927): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchh(928): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(929): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchh(930): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(931): none (pass -> pass) MTC@1830904baf87: Test case TC_speech_rtp_tchh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass'. Sun Oct 20 05:55:25 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_speech_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6611748) Waiting for packet dumper to finish... 1 (prev_count=6611748, count=6616921) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf'. ------ BTS_Tests.TC_speech_osmux_tchf ------ Sun Oct 20 05:55:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchf.pcap" >/data/BTS_Tests.TC_speech_osmux_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_speech_osmux_tchf started. TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(933)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(933)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(933)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(933)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(933)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(933)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(933)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(933)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL(933)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(933)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(933)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(933)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(933)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(933)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL(933)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(933)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf(935)@1830904baf87: setverdict(pass): none -> pass TC_speech_osmux_tchf(935)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchf(935)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(935)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(935)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchf(935)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(935)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchf(935)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchf(935)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchf(935)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchf(935)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchf(935)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchf(935)@1830904baf87: got 'A5AA8622357E000000000000000000'O vs exp 'A5AA8622357E000000000000000000'O TC_speech_osmux_tchf(935)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(935)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8593 TC_speech_osmux_tchf-RSL(933)@1830904baf87: Final verdict of PTC: none TC_speech_osmux_tchf-OsmuxEM(936)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(934)@1830904baf87: Final verdict of PTC: none TC_speech_osmux_tchf-RSL-IPA(932)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_speech_osmux_tchf-RSL-IPA(932): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_speech_osmux_tchf-RSL(933): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(934): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_speech_osmux_tchf(935): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_osmux_tchf-OsmuxEM(936): none (pass -> pass) MTC@1830904baf87: Test case TC_speech_osmux_tchf finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass'. Sun Oct 20 05:55:33 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchf pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_speech_osmux_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4423729) Waiting for packet dumper to finish... 1 (prev_count=4423729, count=4424226) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh'. ------ BTS_Tests.TC_speech_osmux_tchh ------ Sun Oct 20 05:55:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchh.pcap" >/data/BTS_Tests.TC_speech_osmux_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_speech_osmux_tchh started. TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchh-RSL(938)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchh-RSL(938)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchh-RSL(938)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(938)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(938)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(938)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_osmux_tchh-RSL(938)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL(938)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(938)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(938)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(938)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL(938)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(938)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(938)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(938)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(938)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh(940)@1830904baf87: setverdict(pass): none -> pass TC_speech_osmux_tchh(940)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchh(940)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(940)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(940)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchh(940)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(940)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchh(940)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchh(940)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchh(940)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchh(940)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchh(940)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchh(940)@1830904baf87: TCH received (len=17): '2014A5AA8622357E000000000000000000'O TC_speech_osmux_tchh(940)@1830904baf87: got 'A5AA8622357E000000000000000000'O vs exp 'A5AA8622357E000000000000000000'O TC_speech_osmux_tchh(940)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(940)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8607 TC_speech_osmux_tchh-RSL(938)@1830904baf87: Final verdict of PTC: none TC_speech_osmux_tchh-OsmuxEM(941)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(939)@1830904baf87: Final verdict of PTC: none TC_speech_osmux_tchh-RSL-IPA(937)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_speech_osmux_tchh-RSL-IPA(937): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_speech_osmux_tchh-RSL(938): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(939): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_speech_osmux_tchh(940): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_speech_osmux_tchh-OsmuxEM(941): none (pass -> pass) MTC@1830904baf87: Test case TC_speech_osmux_tchh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass'. Sun Oct 20 05:55:40 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_speech_osmux_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4296419) Waiting for packet dumper to finish... 1 (prev_count=4296419, count=4296916) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144'. ------ BTS_Tests.TC_data_rtp_tchf144 ------ Sun Oct 20 05:55:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf144.pcap" >/data/BTS_Tests.TC_data_rtp_tchf144.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_data_rtp_tchf144 started. TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(943)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(943)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(943)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(943)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL(943)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(943)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(943)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(943)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL(943)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(943)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(943)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(943)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(943)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(943)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(943)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(943)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144(945)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_14k4 (24) } } TC_data_rtp_tchf144(945)@1830904baf87: setverdict(pass): none -> pass TC_data_rtp_tchf144(945)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf144-RTPEM(946)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf144(945)@1830904baf87: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144(945)@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@1830904baf87: Test Component 945 has requested to stop MTC. Terminating current testcase execution. TC_data_rtp_tchf144-RSL(943)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchf144-RSL-IPA(942)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(944)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchf144(945)@1830904baf87: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144-RTPEM(946)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf144-RSL-IPA(942): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf144-RSL(943): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(944): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf144(945): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf144-RTPEM(946): none (fail -> fail) MTC@1830904baf87: Test case TC_data_rtp_tchf144 finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail'. Sun Oct 20 05:55:46 UTC 2024 ------ BTS_Tests.TC_data_rtp_tchf144 fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_data_rtp_tchf144.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1950656) Waiting for packet dumper to finish... 1 (prev_count=1950656, count=1951153) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96'. ------ BTS_Tests.TC_data_rtp_tchf96 ------ Sun Oct 20 05:55:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf96.pcap" >/data/BTS_Tests.TC_data_rtp_tchf96.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_data_rtp_tchf96 started. TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(948)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(948)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(948)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL(948)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(948)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL(948)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(948)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL(948)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(948)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(948)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(948)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(950)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_9k6 (16) } } TC_data_rtp_tchf96(950)@1830904baf87: setverdict(pass): none -> pass TC_data_rtp_tchf96(950)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(951)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(950)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(950)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(950)@1830904baf87: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@1830904baf87: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(950)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(951)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(950)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(950)@1830904baf87: Final verdict of PTC: pass TC_data_rtp_tchf96(952)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_12k0 (80) } } TC_data_rtp_tchf96(952)@1830904baf87: setverdict(pass): none -> pass TC_data_rtp_tchf96(952)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(953)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(952)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(952)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(952)@1830904baf87: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@1830904baf87: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(952)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(953)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(952)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(952)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8728 TC_data_rtp_tchf96-RSL(948)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(951)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(949)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(953)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchf96-RSL-IPA(947)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf96-RSL-IPA(947): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf96-RSL(948): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(949): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf96(950): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(951): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf96(952): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(953): none (pass -> pass) MTC@1830904baf87: Test case TC_data_rtp_tchf96 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass'. Sun Oct 20 05:55:53 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf96 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_data_rtp_tchf96.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2924611) Waiting for packet dumper to finish... 1 (prev_count=2924611, count=2925108) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48'. ------ BTS_Tests.TC_data_rtp_tchf48 ------ Sun Oct 20 05:55:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf48.pcap" >/data/BTS_Tests.TC_data_rtp_tchf48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_data_rtp_tchf48 started. TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf48-RSL(955)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(955)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(955)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(955)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(955)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(955)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf48-RSL(955)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(955)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(955)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(955)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL(955)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(957)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchf48(957)@1830904baf87: setverdict(pass): none -> pass TC_data_rtp_tchf48(957)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(958)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(957)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(957)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(957)@1830904baf87: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@1830904baf87: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(957)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(958)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(957)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(957)@1830904baf87: Final verdict of PTC: pass TC_data_rtp_tchf48(959)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchf48(959)@1830904baf87: setverdict(pass): none -> pass TC_data_rtp_tchf48(959)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(960)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(959)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(959)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(959)@1830904baf87: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@1830904baf87: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(959)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(960)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(959)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(959)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8749 TC_data_rtp_tchf48-RSL(955)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(956)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(960)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(958)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchf48-RSL-IPA(954)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf48-RSL-IPA(954): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf48-RSL(955): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(956): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf48(957): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(958): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf48(959): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(960): none (pass -> pass) MTC@1830904baf87: Test case TC_data_rtp_tchf48 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass'. Sun Oct 20 05:55:59 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf48 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_data_rtp_tchf48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2911167) Waiting for packet dumper to finish... 1 (prev_count=2911167, count=2916340) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48'. ------ BTS_Tests.TC_data_rtp_tchh48 ------ Sun Oct 20 05:56:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh48.pcap" >/data/BTS_Tests.TC_data_rtp_tchh48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_data_rtp_tchh48 started. TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(962)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(962)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(962)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(962)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL(962)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(962)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(962)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(962)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(962)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(962)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh48-RSL(962)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(962)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(962)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(962)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(962)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(962)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(964)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchh48(964)@1830904baf87: setverdict(pass): none -> pass TC_data_rtp_tchh48(964)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(965)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(964)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(964)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(964)@1830904baf87: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(964)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(964)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(964)@1830904baf87: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(964)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(965)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(964)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(964)@1830904baf87: Final verdict of PTC: pass TC_data_rtp_tchh48(966)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchh48(966)@1830904baf87: setverdict(pass): none -> pass TC_data_rtp_tchh48(966)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(967)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(966)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(966)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(966)@1830904baf87: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(966)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@1830904baf87: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(966)@1830904baf87: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh48(966)@1830904baf87: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(966)@1830904baf87: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(966)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(967)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(966)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(966)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8770 TC_data_rtp_tchh48-RSL(962)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(967)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(965)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchh48-RSL-IPA(961)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(963)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchh48-RSL-IPA(961): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchh48-RSL(962): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(963): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchh48(964): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(965): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchh48(966): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(967): none (pass -> pass) MTC@1830904baf87: Test case TC_data_rtp_tchh48 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass'. Sun Oct 20 05:56:06 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh48 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_data_rtp_tchh48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3108814) Waiting for packet dumper to finish... 1 (prev_count=3108814, count=3109311) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24'. ------ BTS_Tests.TC_data_rtp_tchf24 ------ Sun Oct 20 05:56:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf24.pcap" >/data/BTS_Tests.TC_data_rtp_tchf24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_data_rtp_tchf24 started. TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(969)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(969)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(969)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(969)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(969)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(969)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(969)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf24-RSL(969)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(969)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(969)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(969)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(969)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(969)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(969)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL(969)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(969)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(971)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchf24(971)@1830904baf87: setverdict(pass): none -> pass TC_data_rtp_tchf24(971)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(972)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(971)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(971)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(971)@1830904baf87: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(971)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@1830904baf87: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(971)@1830904baf87: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(971)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(972)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(971)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(971)@1830904baf87: Final verdict of PTC: pass TC_data_rtp_tchf24(973)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchf24(973)@1830904baf87: setverdict(pass): none -> pass TC_data_rtp_tchf24(973)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(974)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(973)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(973)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(973)@1830904baf87: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(973)@1830904baf87: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(973)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@1830904baf87: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@1830904baf87: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(973)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(974)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(973)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(973)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8790 TC_data_rtp_tchf24-RSL(969)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(974)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(972)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(970)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchf24-RSL-IPA(968)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf24-RSL-IPA(968): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf24-RSL(969): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(970): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf24(971): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(972): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf24(973): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(974): none (pass -> pass) MTC@1830904baf87: Test case TC_data_rtp_tchf24 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass'. Sun Oct 20 05:56:12 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf24 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_data_rtp_tchf24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2527963) Waiting for packet dumper to finish... 1 (prev_count=2527963, count=2528460) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24'. ------ BTS_Tests.TC_data_rtp_tchh24 ------ Sun Oct 20 05:56:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh24.pcap" >/data/BTS_Tests.TC_data_rtp_tchh24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_data_rtp_tchh24 started. TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(976)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(976)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(976)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(976)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(976)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(976)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(976)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(976)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(976)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(976)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(976)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(976)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(976)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(976)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(976)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(976)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(978)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchh24(978)@1830904baf87: setverdict(pass): none -> pass TC_data_rtp_tchh24(978)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(979)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(978)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(978)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(978)@1830904baf87: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(978)@1830904baf87: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@1830904baf87: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@1830904baf87: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@1830904baf87: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@1830904baf87: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@1830904baf87: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(978)@1830904baf87: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(978)@1830904baf87: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(978)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(979)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(978)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(978)@1830904baf87: Final verdict of PTC: pass TC_data_rtp_tchh24(980)@1830904baf87: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchh24(980)@1830904baf87: setverdict(pass): none -> pass TC_data_rtp_tchh24(980)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(981)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(980)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(980)@1830904baf87: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(980)@1830904baf87: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(980)@1830904baf87: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@1830904baf87: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@1830904baf87: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@1830904baf87: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@1830904baf87: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@1830904baf87: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(980)@1830904baf87: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(980)@1830904baf87: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(980)@1830904baf87: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(980)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(981)@1830904baf87: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(980)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(980)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8810 TC_data_rtp_tchh24-RSL(976)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(977)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchh24-RSL-IPA(975)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(979)@1830904baf87: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(981)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchh24-RSL-IPA(975): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchh24-RSL(976): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(977): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchh24(978): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(979): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchh24(980): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(981): none (pass -> pass) MTC@1830904baf87: Test case TC_data_rtp_tchh24 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass'. Sun Oct 20 05:56:19 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh24 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_data_rtp_tchh24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3103009) Waiting for packet dumper to finish... 1 (prev_count=3103009, count=3103506) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment'. ------ BTS_Tests.TC_early_immediate_assignment ------ Sun Oct 20 05:56:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_early_immediate_assignment.pcap" >/data/BTS_Tests.TC_early_immediate_assignment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_early_immediate_assignment started. TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: Established a new IPA connection (conn_id=2) TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(983)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: Established a new IPA connection (conn_id=3) TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(983)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: Established a new IPA connection (conn_id=4) TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(983)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: Established a new IPA connection (conn_id=5) TC_early_immediate_assignment-RSL(983)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(983)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(983)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(983)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(983)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(983)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(983)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_early_immediate_assignment-RSL(983)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(983)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(983)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_early_immediate_assignment-RSL(983)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(983)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(983)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment(985)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(985)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(985)@1830904baf87: Received IMM.ASS for our RACH! TC_early_immediate_assignment(985)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(985)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(985)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(986)@1830904baf87: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(986)@1830904baf87: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 0 }, tsc := 7, h := false, arfcn := 873, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 15 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(986)@1830904baf87: Received IMM.ASS for our RACH! TC_early_immediate_assignment(986)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(986)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(986)@1830904baf87: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":8891 TC_early_immediate_assignment-RSL(983)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(984)@1830904baf87: Final verdict of PTC: none TC_early_immediate_assignment-RSL-IPA(982)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_early_immediate_assignment-RSL-IPA(982): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_early_immediate_assignment-RSL(983): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(984): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_early_immediate_assignment(985): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Local verdict of PTC TC_early_immediate_assignment(986): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@1830904baf87: Test case TC_early_immediate_assignment finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass'. Sun Oct 20 05:56:27 UTC 2024 ====== BTS_Tests.TC_early_immediate_assignment pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_early_immediate_assignment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4011510) Waiting for packet dumper to finish... 1 (prev_count=4011510, count=4012007) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh ------ Sun Oct 20 05:56:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_acch_overpower_rxqual_thresh started. TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh(990)@1830904baf87: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh(990)@1830904baf87: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh(990)@1830904baf87: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: Executing step[3] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 1, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: Executing step[4] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 2, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: Executing step[5] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 4, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: Executing step[6] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 3, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: Executing step[7] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 2, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":9085 TC_acch_overpower_rxqual_thresh-RSL(988)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(989)@1830904baf87: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL-IPA(987): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL(988): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(989): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_rxqual_thresh(990): pass (none -> pass) MTC@1830904baf87: Test case TC_acch_overpower_rxqual_thresh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh pass'. Sun Oct 20 05:56:53 UTC 2024 ====== BTS_Tests.TC_acch_overpower_rxqual_thresh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16383928) Waiting for packet dumper to finish... 1 (prev_count=16383928, count=16384425) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx ------ Sun Oct 20 05:56:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_acch_overpower_rxqual_thresh_dtx started. TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '1'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 7, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '1'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: Executing step[3] := { meas_res := { ba_used := '0'B, dtx_used := '1'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 7, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":9126 TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(993)@1830904baf87: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL(992): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(993): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx(994): pass (none -> pass) MTC@1830904baf87: Test case TC_acch_overpower_rxqual_thresh_dtx finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass'. Sun Oct 20 05:57:11 UTC 2024 ====== BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10093406) Waiting for packet dumper to finish... 1 (prev_count=10093406, count=10093903) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch'. ------ BTS_Tests.TC_acch_overpower_always_on_facch ------ Sun Oct 20 05:57:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_acch_overpower_always_on_facch started. TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch(998)@1830904baf87: RxLev(BCCH) := 30 TC_acch_overpower_always_on_facch(998)@1830904baf87: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_facch(998)@1830904baf87: setverdict(pass): none -> pass TC_acch_overpower_always_on_facch(998)@1830904baf87: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(998)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@1830904baf87: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(998)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_facch(998)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@1830904baf87: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(998)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_facch(998)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":9158 TC_acch_overpower_always_on_facch-RSL(996)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(997)@1830904baf87: Final verdict of PTC: none TC_acch_overpower_always_on_facch-RSL-IPA(995)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL-IPA(995): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL(996): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(997): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_always_on_facch(998): pass (none -> pass) MTC@1830904baf87: Test case TC_acch_overpower_always_on_facch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch pass'. Sun Oct 20 05:57:28 UTC 2024 ====== BTS_Tests.TC_acch_overpower_always_on_facch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_acch_overpower_always_on_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8960877) Waiting for packet dumper to finish... 1 (prev_count=8960877, count=8961374) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch'. ------ BTS_Tests.TC_acch_overpower_always_on_sacch ------ Sun Oct 20 05:57:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_acch_overpower_always_on_sacch started. TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch(1002)@1830904baf87: RxLev(BCCH) := 30 TC_acch_overpower_always_on_sacch(1002)@1830904baf87: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_sacch(1002)@1830904baf87: setverdict(pass): none -> pass TC_acch_overpower_always_on_sacch(1002)@1830904baf87: Executing step[0] := { meas_res := omit, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(1002)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@1830904baf87: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(1002)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_sacch(1002)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@1830904baf87: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(1002)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_sacch(1002)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":9190 TC_acch_overpower_always_on_sacch-RSL(1000)@1830904baf87: Final verdict of PTC: none TC_acch_overpower_always_on_sacch-RSL-IPA(999)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1001)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL-IPA(999): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL(1000): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1001): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_always_on_sacch(1002): pass (none -> pass) MTC@1830904baf87: Test case TC_acch_overpower_always_on_sacch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch pass'. Sun Oct 20 05:57:44 UTC 2024 ====== BTS_Tests.TC_acch_overpower_always_on_sacch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_acch_overpower_always_on_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8545592) Waiting for packet dumper to finish... 1 (prev_count=8545592, count=8551202) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit'. ------ BTS_Tests.TC_acch_overpower_limit ------ Sun Oct 20 05:57:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_limit.pcap" >/data/BTS_Tests.TC_acch_overpower_limit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_acch_overpower_limit started. TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: Established a new IPA connection (conn_id=2) TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(1004)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: Established a new IPA connection (conn_id=3) TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(1004)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: Established a new IPA connection (conn_id=4) TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(1004)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(1004)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: Established a new IPA connection (conn_id=5) TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(1004)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1004)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_acch_overpower_limit-RSL(1004)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(1004)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL(1004)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1004)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(1004)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(1004)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1004)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_acch_overpower_limit-RSL(1004)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(1004)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1004)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit(1006)@1830904baf87: RxLev(BCCH) := 30 TC_acch_overpower_limit(1006)@1830904baf87: Expected RxLev(DCCH) := 28 TC_acch_overpower_limit(1006)@1830904baf87: setverdict(pass): none -> pass TC_acch_overpower_limit(1006)@1830904baf87: Executing step[0] := { meas_res := omit, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(1006)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@1830904baf87: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(1006)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_limit(1006)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@1830904baf87: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(1006)@1830904baf87: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_limit(1006)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":9221 TC_acch_overpower_limit-RSL(1004)@1830904baf87: Final verdict of PTC: none TC_acch_overpower_limit-RSL-IPA(1003)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1005)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_limit-RSL-IPA(1003): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_limit-RSL(1004): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1005): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_acch_overpower_limit(1006): pass (none -> pass) MTC@1830904baf87: Test case TC_acch_overpower_limit finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit pass'. Sun Oct 20 05:58:01 UTC 2024 ====== BTS_Tests.TC_acch_overpower_limit pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_acch_overpower_limit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8550519) Waiting for packet dumper to finish... 1 (prev_count=8550519, count=8551144) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit pass' was executed successfully (exit status: 0). MC@1830904baf87: Test execution finished. MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch'. ------ BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch ------ Sun Oct 20 05:58:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap" >/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_cbch_load_idle_no_cbch started. TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: Established a new IPA connection (conn_id=2) TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: Established a new IPA connection (conn_id=3) TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: Established a new IPA connection (conn_id=4) TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: Established a new IPA connection (conn_id=5) TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":758 TC_cbch_load_idle_no_cbch-RSL(1008)@1830904baf87: Final verdict of PTC: none TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1009)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL-IPA(1007): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL(1008): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1009): none (pass -> pass) MTC@1830904baf87: Test case TC_cbch_load_idle_no_cbch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass'. Sun Oct 20 05:58:16 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6437335) Waiting for packet dumper to finish... 1 (prev_count=6437335, count=6437832) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block ------ Sun Oct 20 05:58:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_1block started. TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1012)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL(1011): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1012): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_1block finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass'. Sun Oct 20 05:58:35 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10081089) Waiting for packet dumper to finish... 1 (prev_count=10081089, count=10081586) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block ------ Sun Oct 20 05:58:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_2block started. TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1015)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL(1014): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1015): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_2block finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass'. Sun Oct 20 05:58:54 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10069153) Waiting for packet dumper to finish... 1 (prev_count=10069153, count=10070087) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block ------ Sun Oct 20 05:58:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_3block started. TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1018)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL(1017): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1018): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_3block finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass'. Sun Oct 20 05:59:12 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10076023) Waiting for packet dumper to finish... 1 (prev_count=10076023, count=10076520) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block ------ Sun Oct 20 05:59:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_4block started. TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@1830904baf87: Found block_nr 3 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1021)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL(1020): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1021): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_4block finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass'. Sun Oct 20 05:59:31 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10081598) Waiting for packet dumper to finish... 1 (prev_count=10081598, count=10082095) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi ------ Sun Oct 20 05:59:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_multi started. TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@1830904baf87: Found block_nr 3 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 1 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 1 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 1 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@1830904baf87: Found block_nr 3 of msg 1 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 2 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 2 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 2 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@1830904baf87: Found block_nr 3 of msg 2 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2531, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2582, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2633, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2684, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2735, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2786, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2837, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2888, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2939, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2990, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3041, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3092, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3143, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1024)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL(1023): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1024): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_multi finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass'. Sun Oct 20 05:59:56 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15392653) Waiting for packet dumper to finish... 1 (prev_count=15392653, count=15393150) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule ------ Sun Oct 20 05:59:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_schedule started. TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@1830904baf87: Found block_nr 3 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1027)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL(1026): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1027): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_schedule finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass'. Sun Oct 20 06:00:14 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10056442) Waiting for packet dumper to finish... 1 (prev_count=10056442, count=10062052) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only ------ Sun Oct 20 06:00:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_default_only started. TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:664 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":664 TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1030)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL(1029): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1030): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_default_only finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass'. Sun Oct 20 06:00:35 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11849186) Waiting for packet dumper to finish... 1 (prev_count=11849186, count=11854359) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal ------ Sun Oct 20 06:00:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_default_and_normal started. TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1033)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1033): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_default_and_normal finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass'. Sun Oct 20 06:00:57 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12742331) Waiting for packet dumper to finish... 1 (prev_count=12742331, count=12742828) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null ------ Sun Oct 20 06:01:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_default_then_null started. TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3398, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3449, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3500, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3551, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3602, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3653, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3704, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3755, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3806, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3857, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3908, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3959, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4010, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4061, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4112, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4163, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4214, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4265, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4316, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4367, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4418, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4469, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:734 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":734 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1036)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1036): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch4_default_then_null finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass'. Sun Oct 20 06:01:27 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20683080) Waiting for packet dumper to finish... 1 (prev_count=20683080, count=20683577) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle ------ Sun Oct 20 06:01:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_cbc_sdcch4_load_idle started. TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch4_load_idle-RSL(1038)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1039)@1830904baf87: Final verdict of PTC: none TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL-IPA(1037): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL(1038): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1039): none (pass -> pass) MTC@1830904baf87: Test case TC_cbc_sdcch4_load_idle finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass'. Sun Oct 20 06:01:48 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7648129) Waiting for packet dumper to finish... 1 (prev_count=7648129, count=7648626) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload ------ Sun Oct 20 06:01:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_cbc_sdcch4_load_overload started. TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch4_load_overload-RSL(1041)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1042)@1830904baf87: Final verdict of PTC: none TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL-IPA(1040): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL(1041): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1042): none (pass -> pass) MTC@1830904baf87: Test case TC_cbc_sdcch4_load_overload finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass'. Sun Oct 20 06:02:11 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8705772) Waiting for packet dumper to finish... 1 (prev_count=8705772, count=8706269) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block ------ Sun Oct 20 06:02:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_1block started. TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: Established a new IPA connection (conn_id=5) MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1045)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL(1044): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1045): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_1block finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass'. Sun Oct 20 06:02:29 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10089292) Waiting for packet dumper to finish... 1 (prev_count=10089292, count=10094465) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block ------ Sun Oct 20 06:02:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_2block started. TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1048)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL(1047): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1048): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_2block finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass'. Sun Oct 20 06:02:48 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10093009) Waiting for packet dumper to finish... 1 (prev_count=10093009, count=10093506) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block ------ Sun Oct 20 06:02:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_3block started. TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1051)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL(1050): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1051): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_3block finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass'. Sun Oct 20 06:03:07 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10096502) Waiting for packet dumper to finish... 1 (prev_count=10096502, count=10096999) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block ------ Sun Oct 20 06:03:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_4block started. TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@1830904baf87: Found block_nr 3 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1054)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL(1053): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1054): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_4block finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass'. Sun Oct 20 06:03:25 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10089301) Waiting for packet dumper to finish... 1 (prev_count=10089301, count=10089798) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi ------ Sun Oct 20 06:03:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_multi started. TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@1830904baf87: Found block_nr 3 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 1 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 1 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 1 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@1830904baf87: Found block_nr 3 of msg 1 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 2 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 2 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 2 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@1830904baf87: Found block_nr 3 of msg 2 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2558, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2609, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2660, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2711, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2762, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2813, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2864, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2915, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2966, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3017, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3068, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3119, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1057)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL(1056): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1057): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_multi finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass'. Sun Oct 20 06:03:50 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15415231) Waiting for packet dumper to finish... 1 (prev_count=15415231, count=15420404) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule ------ Sun Oct 20 06:03:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_schedule started. TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@1830904baf87: Found block_nr 3 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1060)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL(1059): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1060): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_schedule finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass'. Sun Oct 20 06:04:09 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10092347) Waiting for packet dumper to finish... 1 (prev_count=10092347, count=10092844) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only ------ Sun Oct 20 06:04:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_default_only started. TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:673 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":673 TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1063)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL(1062): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1063): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_default_only finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass'. Sun Oct 20 06:04:29 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11854181) Waiting for packet dumper to finish... 1 (prev_count=11854181, count=11859354) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal ------ Sun Oct 20 06:04:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_default_and_normal started. TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@1830904baf87: Found block_nr 0 of msg 0 MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@1830904baf87: Found block_nr 1 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@1830904baf87: Found block_nr 2 of msg 0 MTC@1830904baf87: setverdict(pass): pass -> pass, component reason not changed MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 2 of DEFAULT/NULL MTC@1830904baf87: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 3 of DEFAULT/NULL MTC@1830904baf87: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@1830904baf87: Found block_nr 0 of DEFAULT/NULL MTC@1830904baf87: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@1830904baf87: Found block_nr 1 of DEFAULT/NULL MTC@1830904baf87: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1066)@1830904baf87: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1066): none (pass -> pass) MTC@1830904baf87: Test case TC_sms_cb_cmd_sdcch8_default_and_normal finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass'. Sun Oct 20 06:04:51 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12764569) Waiting for packet dumper to finish... 1 (prev_count=12764569, count=12765066) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle ------ Sun Oct 20 06:04:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_cbc_sdcch8_load_idle started. TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch8_load_idle-RSL(1068)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1069)@1830904baf87: Final verdict of PTC: none TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL-IPA(1067): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL(1068): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1069): none (pass -> pass) MTC@1830904baf87: Test case TC_cbc_sdcch8_load_idle finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass'. Sun Oct 20 06:05:11 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7667098) Waiting for packet dumper to finish... 1 (prev_count=7667098, count=7667595) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload ------ Sun Oct 20 06:05:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_cbc_sdcch8_load_overload started. TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch8_load_overload-RSL(1071)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1072)@1830904baf87: Final verdict of PTC: none TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL-IPA(1070): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL(1071): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1072): none (pass -> pass) MTC@1830904baf87: Test case TC_cbc_sdcch8_load_overload finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass'. Sun Oct 20 06:05:34 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8716743) Waiting for packet dumper to finish... 1 (prev_count=8716743, count=8717677) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro'. ------ BTS_Tests_SMSCB.TC_etws_p1ro ------ Sun Oct 20 06:05:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_etws_p1ro started. TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: Established a new IPA connection (conn_id=2) TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1074)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: Established a new IPA connection (conn_id=3) TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1074)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: Established a new IPA connection (conn_id=4) TC_etws_p1ro-RSL(1074)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1074)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL(1074)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1074)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: Established a new IPA connection (conn_id=5) TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_etws_p1ro-RSL(1074)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL(1074)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1074)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1074)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_etws_p1ro-RSL(1074)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1074)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1074)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 3/4 transceiver(s) connected TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1074)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1074)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1074)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Quantity of received ETWS PN segments: { 22, 21, 21, 21 } MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1009 TC_etws_p1ro-RSL(1074)@1830904baf87: Final verdict of PTC: none TC_etws_p1ro-RSL-IPA(1073)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1075)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_etws_p1ro-RSL-IPA(1073): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_etws_p1ro-RSL(1074): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1075): none (pass -> pass) MTC@1830904baf87: Test case TC_etws_p1ro finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass'. Sun Oct 20 06:05:51 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11683812) Waiting for packet dumper to finish... 1 (prev_count=11683812, count=11684309) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end'. ------ BTS_Tests_SMSCB.TC_etws_p1ro_end ------ Sun Oct 20 06:05:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_etws_p1ro_end started. TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: Established a new IPA connection (conn_id=2) TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1077)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: Established a new IPA connection (conn_id=3) TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1077)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: Established a new IPA connection (conn_id=4) TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1077)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1077)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: Established a new IPA connection (conn_id=5) TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1077)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1077)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1077)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro_end-RSL(1077)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1077)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1077)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1077)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1077)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1077)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1077)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1077)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1077)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1051 IPA-CTRL-CLI-IPA(1078)@1830904baf87: Final verdict of PTC: none TC_etws_p1ro_end-RSL(1077)@1830904baf87: Final verdict of PTC: none TC_etws_p1ro_end-RSL-IPA(1076)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_etws_p1ro_end-RSL-IPA(1076): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_etws_p1ro_end-RSL(1077): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1078): none (pass -> pass) MTC@1830904baf87: Test case TC_etws_p1ro_end finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass'. Sun Oct 20 06:06:11 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro_end pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro_end.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15174942) Waiting for packet dumper to finish... 1 (prev_count=15174942, count=15175439) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu'. ------ BTS_Tests_SMSCB.TC_etws_pcu ------ Sun Oct 20 06:06:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap" >/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_etws_pcu started. TC_etws_pcu-RSL-IPA(1079)@1830904baf87: Established a new IPA connection (conn_id=2) TC_etws_pcu-RSL(1080)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: Established a new IPA connection (conn_id=3) TC_etws_pcu-RSL(1080)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1080)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_etws_pcu-RSL-IPA(1079)@1830904baf87: Established a new IPA connection (conn_id=4) MTC@1830904baf87: 1/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1080)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1080)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1080)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_etws_pcu-RSL-IPA(1079)@1830904baf87: Established a new IPA connection (conn_id=5) TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1080)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1080)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1079)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1080)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL(1080)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1080)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1078 TC_etws_pcu-RSL(1080)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1081)@1830904baf87: Final verdict of PTC: none TC_etws_pcu-RSL-IPA(1079)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_etws_pcu-RSL-IPA(1079): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_etws_pcu-RSL(1080): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1081): none (pass -> pass) MTC@1830904baf87: Test case TC_etws_pcu finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass'. Sun Oct 20 06:06:17 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_pcu pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_etws_pcu.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1996576) Waiting for packet dumper to finish... 1 (prev_count=1996576, count=1997073) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass' was executed successfully (exit status: 0). MC@1830904baf87: Test execution finished. MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 ------ Sun Oct 20 06:06:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sabm_ua_dcch_sapi0 started. TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1086@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1085)@1830904baf87: setverdict(pass): none -> pass 1086@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1086@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1086@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1086@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1085)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1086@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1085)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1086@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1085)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1088@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1087)@1830904baf87: setverdict(pass): none -> pass 1088@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1088@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1088@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1088@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1087)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1088@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1087)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1088@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1087)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1090@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1089)@1830904baf87: setverdict(pass): none -> pass 1090@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1090@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1090@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1090@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1089)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1090@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1089)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1090@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1089)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1092@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1091)@1830904baf87: setverdict(pass): none -> pass 1092@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1092@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1092@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1092@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1091)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1092@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1091)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1092@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1091)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 IPA-CTRL-CLI-IPA(1084)@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0-RSL(1083)@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL-IPA(1082): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL(1083): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1084): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1085): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1086: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1087): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1088: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1089): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1090: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1091): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1092: none (pass -> pass) MTC@1830904baf87: Test case TC_sabm_ua_dcch_sapi0 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass'. Sun Oct 20 06:06:24 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3042512) Waiting for packet dumper to finish... 1 (prev_count=3042512, count=3043446) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload ------ Sun Oct 20 06:06:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sabm_ua_dcch_sapi0_nopayload started. TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1097@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1096)@1830904baf87: setverdict(pass): none -> pass 1097@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1097@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1097@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1097@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1096)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1097@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1096)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1097@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1096)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1099@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1098)@1830904baf87: setverdict(pass): none -> pass 1099@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1099@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1099@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1099@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1098)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1099@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1098)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1099@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1098)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1101@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1100)@1830904baf87: setverdict(pass): none -> pass 1101@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1101@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1101@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1101@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1100)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1101@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1100)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1101@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1100)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1103@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1102)@1830904baf87: setverdict(pass): none -> pass 1103@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1103@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1103@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1103@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1102)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1103@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1102)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1103@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1102)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1095)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1095): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1096): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1097: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1098): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1099: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1100): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1101: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1102): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1103: none (pass -> pass) MTC@1830904baf87: Test case TC_sabm_ua_dcch_sapi0_nopayload finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass'. Sun Oct 20 06:06:50 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22260265) Waiting for packet dumper to finish... 1 (prev_count=22260265, count=22265438) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 ------ Sun Oct 20 06:06:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sabm_ua_dcch_sapi3 started. TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1108@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1107)@1830904baf87: setverdict(pass): none -> pass 1108@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1108@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1108@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1108@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1107)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1108@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1107)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1108@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1107)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1110@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1109)@1830904baf87: setverdict(pass): none -> pass 1110@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1110@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1110@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1110@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1109)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1110@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1109)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1110@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1109)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1112@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1111)@1830904baf87: setverdict(pass): none -> pass 1112@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1112@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1112@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1112@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1111)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1112@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1111)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1112@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1111)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1114@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1113)@1830904baf87: setverdict(pass): none -> pass 1114@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1114@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1114@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1114@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1113)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1114@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1113)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1114@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1113)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi3-RSL(1105)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1106)@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL-IPA(1104): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL(1105): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1106): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1107): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1108: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1109): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1110: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1111): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1112: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1113): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1114: none (pass -> pass) MTC@1830904baf87: Test case TC_sabm_ua_dcch_sapi3 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass'. Sun Oct 20 06:07:15 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22265519) Waiting for packet dumper to finish... 1 (prev_count=22265519, count=22266016) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 ------ Sun Oct 20 06:07:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sabm_ua_dcch_sapi4 started. TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: Established a new IPA connection (conn_id=5) MTC@1830904baf87: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1119@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1118)@1830904baf87: setverdict(pass): none -> pass 1119@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1119@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1119@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1119@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1118)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1119@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1118)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1119@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1118)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1121@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1120)@1830904baf87: setverdict(pass): none -> pass 1121@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1121@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1121@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1121@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1120)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1121@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1120)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1121@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1120)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1123@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1122)@1830904baf87: setverdict(pass): none -> pass 1123@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1123@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1123@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1123@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1122)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1123@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1122)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1123@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1122)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1125@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1124)@1830904baf87: setverdict(pass): none -> pass 1125@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1125@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1125@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1125@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1124)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1125@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1124)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1125@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1124)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi4-RSL(1116)@1830904baf87: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1117)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL-IPA(1115): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL(1116): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1117): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1118): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1119: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1120): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1121: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1122): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1123: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1124): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1125: none (pass -> pass) MTC@1830904baf87: Test case TC_sabm_ua_dcch_sapi4 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass'. Sun Oct 20 06:07:41 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22263373) Waiting for packet dumper to finish... 1 (prev_count=22263373, count=22263870) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention'. ------ BTS_Tests_LAPDm.TC_sabm_contention ------ Sun Oct 20 06:07:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sabm_contention started. TC_sabm_contention-RSL-IPA(1126)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sabm_contention-RSL(1127)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sabm_contention-RSL(1127)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sabm_contention-RSL(1127)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1127)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1127)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1127)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sabm_contention-RSL-IPA(1126)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sabm_contention-RSL(1127)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1127)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL(1127)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1127)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1127)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1127)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1127)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 3/4 transceiver(s) connected TC_sabm_contention-RSL-IPA(1126)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1126)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1127)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1127)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1127)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_sabm_contention": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1130@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1129)@1830904baf87: setverdict(pass): none -> pass 1130@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1130@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1130@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1130@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1129)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1130@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1129)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1130@1830904baf87: Final verdict of PTC: none TC_sabm_contention(1129)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_contention": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1132@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1131)@1830904baf87: setverdict(pass): none -> pass 1132@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1132@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1132@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1132@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1131)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1132@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1131)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1132@1830904baf87: Final verdict of PTC: none TC_sabm_contention(1131)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_contention": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_contention(1133)@1830904baf87: setverdict(pass): none -> pass 1134@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1134@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1134@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1134@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1134@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1133)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1134@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1133)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1134@1830904baf87: Final verdict of PTC: none TC_sabm_contention(1133)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_contention": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1136@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1135)@1830904baf87: setverdict(pass): none -> pass 1136@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1136@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1136@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1136@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1135)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1136@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1135)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1136@1830904baf87: Final verdict of PTC: none TC_sabm_contention(1135)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_contention-RSL(1127)@1830904baf87: Final verdict of PTC: none TC_sabm_contention-RSL-IPA(1126)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1128)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sabm_contention-RSL-IPA(1126): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_contention-RSL(1127): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1128): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_contention(1129): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1130: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_contention(1131): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1132: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_contention(1133): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1134: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_contention(1135): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1136: none (pass -> pass) MTC@1830904baf87: Test case TC_sabm_contention finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass'. Sun Oct 20 06:08:07 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_contention pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_contention.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22265827) Waiting for packet dumper to finish... 1 (prev_count=22265827, count=22266324) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit ------ Sun Oct 20 06:08:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sabm_retransmit started. TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sabm_retransmit-RSL(1138)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sabm_retransmit-RSL(1138)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sabm_retransmit-RSL(1138)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1138)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1138)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1138)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sabm_retransmit-RSL(1138)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1138)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1138)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1138)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL(1138)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1138)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1138)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1138)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL(1138)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1138)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_sabm_retransmit": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1141@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1140)@1830904baf87: setverdict(pass): none -> pass 1141@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1141@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1141@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1141@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1140)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1141@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1140)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1141@1830904baf87: Final verdict of PTC: none TC_sabm_retransmit(1140)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_retransmit": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1143@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1142)@1830904baf87: setverdict(pass): none -> pass 1143@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1143@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1143@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1143@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1142)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1143@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1142)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1143@1830904baf87: Final verdict of PTC: none TC_sabm_retransmit(1142)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_retransmit": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1145@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1144)@1830904baf87: setverdict(pass): none -> pass 1145@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1145@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1145@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1145@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1144)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1145@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1144)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1145@1830904baf87: Final verdict of PTC: none TC_sabm_retransmit(1144)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_retransmit": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1147@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1146)@1830904baf87: setverdict(pass): none -> pass 1147@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1147@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1147@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1147@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1146)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1147@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1146)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1147@1830904baf87: Final verdict of PTC: none TC_sabm_retransmit(1146)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit-RSL(1138)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1139)@1830904baf87: Final verdict of PTC: none TC_sabm_retransmit-RSL-IPA(1137)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sabm_retransmit-RSL-IPA(1137): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_retransmit-RSL(1138): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1139): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_retransmit(1140): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1141: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_retransmit(1142): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1143: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_retransmit(1144): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1145: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_retransmit(1146): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1147: none (pass -> pass) MTC@1830904baf87: Test case TC_sabm_retransmit finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass'. Sun Oct 20 06:08:15 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4195581) Waiting for packet dumper to finish... 1 (prev_count=4195581, count=4200754) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit_bts ------ Sun Oct 20 06:08:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sabm_retransmit_bts started. TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_sabm_retransmit_bts": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1152@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1151)@1830904baf87: setverdict(pass): none -> pass 1152@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1152@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1152@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1152@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1151)@1830904baf87: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1152@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1151)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1152@1830904baf87: Final verdict of PTC: none TC_sabm_retransmit_bts(1151)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_retransmit_bts": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1154@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1153)@1830904baf87: setverdict(pass): none -> pass 1154@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1154@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1154@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1154@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1153)@1830904baf87: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1154@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1153)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1154@1830904baf87: Final verdict of PTC: none TC_sabm_retransmit_bts(1153)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1156@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1155)@1830904baf87: setverdict(pass): none -> pass 1156@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1156@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1156@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1156@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1155)@1830904baf87: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1156@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1155)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1156@1830904baf87: Final verdict of PTC: none TC_sabm_retransmit_bts(1155)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1158@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1157)@1830904baf87: setverdict(pass): none -> pass 1158@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1158@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1158@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1158@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1157)@1830904baf87: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1158@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1157)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1158@1830904baf87: Final verdict of PTC: none TC_sabm_retransmit_bts(1157)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit_bts-RSL(1149)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1150)@1830904baf87: Final verdict of PTC: none TC_sabm_retransmit_bts-RSL-IPA(1148)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sabm_retransmit_bts-RSL-IPA(1148): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_retransmit_bts-RSL(1149): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1150): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_retransmit_bts(1151): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1152: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_retransmit_bts(1153): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1154: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_retransmit_bts(1155): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1156: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_retransmit_bts(1157): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1158: none (pass -> pass) MTC@1830904baf87: Test case TC_sabm_retransmit_bts finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass'. Sun Oct 20 06:09:41 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=82589828) Waiting for packet dumper to finish... 1 (prev_count=82589828, count=82590325) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp'. ------ BTS_Tests_LAPDm.TC_sabm_invalid_resp ------ Sun Oct 20 06:09:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sabm_invalid_resp started. TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1160)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1160)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1160)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1160)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_sabm_invalid_resp": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1163@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1162)@1830904baf87: setverdict(pass): none -> pass 1163@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1163@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1163@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1163@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1163@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1163@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp(1162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1164@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1164@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1164@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1164@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1164@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1164@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1164@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp(1162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1165@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1165@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1165@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1165@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1165@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1165@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1162)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1165@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp(1162)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_invalid_resp": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1167@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1166)@1830904baf87: setverdict(pass): none -> pass 1167@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1167@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1167@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1167@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1167@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1167@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp(1166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1168@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1168@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1168@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1168@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1168@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1168@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1168@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp(1166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1169@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1169@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1169@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1169@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1169@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1169@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1166)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1169@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp(1166)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_invalid_resp(1170)@1830904baf87: setverdict(pass): none -> pass 1171@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1171@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1171@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1171@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1171@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1170)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1171@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1170)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1171@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp(1170)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1172@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1172@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1172@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1172@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1172@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1170)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1172@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1170)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1172@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp(1170)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1173@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1173@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1173@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1173@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1173@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1170)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1173@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1170)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1173@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp(1170)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1175@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1174)@1830904baf87: setverdict(pass): none -> pass 1175@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1175@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1175@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1175@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1174)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1175@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1174)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1175@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp(1174)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1176@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1176@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1176@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1176@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1176@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1174)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1176@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1174)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1176@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp(1174)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1177@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1177@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1177@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1177@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1177@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1174)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1177@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1174)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1177@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp(1174)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_invalid_resp-RSL(1160)@1830904baf87: Final verdict of PTC: none TC_sabm_invalid_resp-RSL-IPA(1159)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1161)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sabm_invalid_resp-RSL-IPA(1159): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_invalid_resp-RSL(1160): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1161): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_invalid_resp(1162): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1163: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1164: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1165: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_invalid_resp(1166): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1167: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1168: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1169: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_invalid_resp(1170): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1171: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1172: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1173: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_invalid_resp(1174): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1175: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1176: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1177: none (pass -> pass) MTC@1830904baf87: Test case TC_sabm_invalid_resp finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass'. Sun Oct 20 06:10:09 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_invalid_resp pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_invalid_resp.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19122241) Waiting for packet dumper to finish... 1 (prev_count=19122241, count=19122738) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm'. ------ BTS_Tests_LAPDm.TC_sabm_dm ------ Sun Oct 20 06:10:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sabm_dm started. TC_sabm_dm-RSL-IPA(1178)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sabm_dm-RSL(1179)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sabm_dm-RSL(1179)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1179)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1179)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sabm_dm-RSL(1179)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1179)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sabm_dm-RSL(1179)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1179)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1179)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1179)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1178)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1179)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL(1179)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1179)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1179)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL(1179)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1179)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_sabm_dm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1182@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1181)@1830904baf87: setverdict(pass): none -> pass 1182@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1182@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1182@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1182@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1181)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1182@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1181)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1182@1830904baf87: Final verdict of PTC: none TC_sabm_dm(1181)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_dm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1184@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1183)@1830904baf87: setverdict(pass): none -> pass 1184@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1184@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1184@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1184@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1183)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1184@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1183)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1184@1830904baf87: Final verdict of PTC: none TC_sabm_dm(1183)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_dm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1186@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1185)@1830904baf87: setverdict(pass): none -> pass 1186@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1186@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1186@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1186@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1185)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1186@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1185)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1186@1830904baf87: Final verdict of PTC: none TC_sabm_dm(1185)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_dm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1188@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1187)@1830904baf87: setverdict(pass): none -> pass 1188@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1188@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1188@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1188@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1187)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1188@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1187)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1188@1830904baf87: Final verdict of PTC: none TC_sabm_dm(1187)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_dm-RSL(1179)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1180)@1830904baf87: Final verdict of PTC: none TC_sabm_dm-RSL-IPA(1178)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sabm_dm-RSL-IPA(1178): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_dm-RSL(1179): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1180): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_dm(1181): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1182: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_dm(1183): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1184: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_dm(1185): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1186: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_dm(1187): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1188: none (pass -> pass) MTC@1830904baf87: Test case TC_sabm_dm finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass'. Sun Oct 20 06:10:27 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_dm pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_dm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14883573) Waiting for packet dumper to finish... 1 (prev_count=14883573, count=14884070) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm'. ------ BTS_Tests_LAPDm.TC_establish_ign_first_sabm ------ Sun Oct 20 06:10:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap" >/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_establish_ign_first_sabm started. TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: Established a new IPA connection (conn_id=2) TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: Established a new IPA connection (conn_id=3) TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: Established a new IPA connection (conn_id=4) TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: Established a new IPA connection (conn_id=5) TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_establish_ign_first_sabm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1193@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1192)@1830904baf87: setverdict(pass): none -> pass 1193@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1193@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1193@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1193@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1192)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1193@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1192)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1193@1830904baf87: Final verdict of PTC: none TC_establish_ign_first_sabm(1192)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_establish_ign_first_sabm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1195@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1194)@1830904baf87: setverdict(pass): none -> pass 1195@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1195@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1195@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1195@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1194)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1195@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1194)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1195@1830904baf87: Final verdict of PTC: none TC_establish_ign_first_sabm(1194)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1197@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1196)@1830904baf87: setverdict(pass): none -> pass 1197@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1197@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1197@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1197@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1196)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1197@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1196)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1197@1830904baf87: Final verdict of PTC: none TC_establish_ign_first_sabm(1196)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1199@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1198)@1830904baf87: setverdict(pass): none -> pass 1199@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1199@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1199@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1199@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1198)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1199@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1198)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1199@1830904baf87: Final verdict of PTC: none TC_establish_ign_first_sabm(1198)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_establish_ign_first_sabm-RSL(1190)@1830904baf87: Final verdict of PTC: none TC_establish_ign_first_sabm-RSL-IPA(1189)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1191)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_establish_ign_first_sabm-RSL-IPA(1189): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_establish_ign_first_sabm-RSL(1190): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1191): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_establish_ign_first_sabm(1192): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1193: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_establish_ign_first_sabm(1194): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1195: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_establish_ign_first_sabm(1196): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1197: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_establish_ign_first_sabm(1198): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1199: none (pass -> pass) MTC@1830904baf87: Test case TC_establish_ign_first_sabm finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass'. Sun Oct 20 06:10:40 UTC 2024 ====== BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_establish_ign_first_sabm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8352965) Waiting for packet dumper to finish... 1 (prev_count=8352965, count=8358138) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack'. ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack ------ Sun Oct 20 06:10:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_iframe_seq_and_ack started. TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: Established a new IPA connection (conn_id=2) TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: Established a new IPA connection (conn_id=3) TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: Established a new IPA connection (conn_id=4) TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: Established a new IPA connection (conn_id=5) TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_iframe_seq_and_ack": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1204@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1203)@1830904baf87: setverdict(pass): none -> pass 1204@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1204@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1204@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1204@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1203)@1830904baf87: Starting iteration 0 TC_iframe_seq_and_ack(1203)@1830904baf87: Starting iteration 1 TC_iframe_seq_and_ack(1203)@1830904baf87: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1203)@1830904baf87: Starting iteration 2 TC_iframe_seq_and_ack(1203)@1830904baf87: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1203)@1830904baf87: Starting iteration 3 TC_iframe_seq_and_ack(1203)@1830904baf87: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1203)@1830904baf87: Starting iteration 4 TC_iframe_seq_and_ack(1203)@1830904baf87: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1203)@1830904baf87: Starting iteration 5 TC_iframe_seq_and_ack(1203)@1830904baf87: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1203)@1830904baf87: Starting iteration 6 TC_iframe_seq_and_ack(1203)@1830904baf87: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1203)@1830904baf87: Starting iteration 7 TC_iframe_seq_and_ack(1203)@1830904baf87: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1203)@1830904baf87: Starting iteration 8 TC_iframe_seq_and_ack(1203)@1830904baf87: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1203)@1830904baf87: Starting iteration 9 TC_iframe_seq_and_ack(1203)@1830904baf87: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1203)@1830904baf87: Completed iteration 1204@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1203)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1204@1830904baf87: Final verdict of PTC: none TC_iframe_seq_and_ack(1203)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_iframe_seq_and_ack": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1206@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1205)@1830904baf87: setverdict(pass): none -> pass 1206@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1206@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1206@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1206@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1205)@1830904baf87: Starting iteration 0 TC_iframe_seq_and_ack(1205)@1830904baf87: Starting iteration 1 TC_iframe_seq_and_ack(1205)@1830904baf87: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1205)@1830904baf87: Starting iteration 2 TC_iframe_seq_and_ack(1205)@1830904baf87: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1205)@1830904baf87: Starting iteration 3 TC_iframe_seq_and_ack(1205)@1830904baf87: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1205)@1830904baf87: Starting iteration 4 TC_iframe_seq_and_ack(1205)@1830904baf87: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1205)@1830904baf87: Starting iteration 5 TC_iframe_seq_and_ack(1205)@1830904baf87: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1205)@1830904baf87: Starting iteration 6 TC_iframe_seq_and_ack(1205)@1830904baf87: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1205)@1830904baf87: Starting iteration 7 TC_iframe_seq_and_ack(1205)@1830904baf87: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1205)@1830904baf87: Starting iteration 8 TC_iframe_seq_and_ack(1205)@1830904baf87: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1205)@1830904baf87: Starting iteration 9 TC_iframe_seq_and_ack(1205)@1830904baf87: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1205)@1830904baf87: Completed iteration 1206@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1205)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1206@1830904baf87: Final verdict of PTC: none TC_iframe_seq_and_ack(1205)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1208@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1207)@1830904baf87: setverdict(pass): none -> pass 1208@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1208@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1208@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1208@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1207)@1830904baf87: Starting iteration 0 TC_iframe_seq_and_ack(1207)@1830904baf87: Starting iteration 1 TC_iframe_seq_and_ack(1207)@1830904baf87: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1207)@1830904baf87: Starting iteration 2 TC_iframe_seq_and_ack(1207)@1830904baf87: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1207)@1830904baf87: Starting iteration 3 TC_iframe_seq_and_ack(1207)@1830904baf87: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1207)@1830904baf87: Starting iteration 4 TC_iframe_seq_and_ack(1207)@1830904baf87: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1207)@1830904baf87: Starting iteration 5 TC_iframe_seq_and_ack(1207)@1830904baf87: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1207)@1830904baf87: Starting iteration 6 TC_iframe_seq_and_ack(1207)@1830904baf87: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1207)@1830904baf87: Starting iteration 7 TC_iframe_seq_and_ack(1207)@1830904baf87: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1207)@1830904baf87: Starting iteration 8 TC_iframe_seq_and_ack(1207)@1830904baf87: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1207)@1830904baf87: Starting iteration 9 TC_iframe_seq_and_ack(1207)@1830904baf87: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1207)@1830904baf87: Completed iteration 1208@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1207)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1208@1830904baf87: Final verdict of PTC: none TC_iframe_seq_and_ack(1207)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1210@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1209)@1830904baf87: setverdict(pass): none -> pass 1210@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1210@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1210@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1210@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1209)@1830904baf87: Starting iteration 0 TC_iframe_seq_and_ack(1209)@1830904baf87: Starting iteration 1 TC_iframe_seq_and_ack(1209)@1830904baf87: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1209)@1830904baf87: Starting iteration 2 TC_iframe_seq_and_ack(1209)@1830904baf87: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1209)@1830904baf87: Starting iteration 3 TC_iframe_seq_and_ack(1209)@1830904baf87: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1209)@1830904baf87: Starting iteration 4 TC_iframe_seq_and_ack(1209)@1830904baf87: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1209)@1830904baf87: Starting iteration 5 TC_iframe_seq_and_ack(1209)@1830904baf87: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1209)@1830904baf87: Starting iteration 6 TC_iframe_seq_and_ack(1209)@1830904baf87: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1209)@1830904baf87: Starting iteration 7 TC_iframe_seq_and_ack(1209)@1830904baf87: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1209)@1830904baf87: Starting iteration 8 TC_iframe_seq_and_ack(1209)@1830904baf87: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1209)@1830904baf87: Starting iteration 9 TC_iframe_seq_and_ack(1209)@1830904baf87: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1209)@1830904baf87: Completed iteration 1210@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1209)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1210@1830904baf87: Final verdict of PTC: none TC_iframe_seq_and_ack(1209)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_iframe_seq_and_ack-RSL(1201)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1202)@1830904baf87: Final verdict of PTC: none TC_iframe_seq_and_ack-RSL-IPA(1200)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_iframe_seq_and_ack-RSL-IPA(1200): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_iframe_seq_and_ack-RSL(1201): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1202): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_iframe_seq_and_ack(1203): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1204: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_iframe_seq_and_ack(1205): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1206: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_iframe_seq_and_ack(1207): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1208: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_iframe_seq_and_ack(1209): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1210: none (pass -> pass) MTC@1830904baf87: Test case TC_iframe_seq_and_ack finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass'. Sun Oct 20 06:10:58 UTC 2024 ====== BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_iframe_seq_and_ack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14850838) Waiting for packet dumper to finish... 1 (prev_count=14850838, count=14851335) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery'. ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery ------ Sun Oct 20 06:11:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_iframe_timer_recovery started. TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: Established a new IPA connection (conn_id=2) TC_iframe_timer_recovery-RSL(1212)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: Established a new IPA connection (conn_id=3) TC_iframe_timer_recovery-RSL(1212)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: Established a new IPA connection (conn_id=4) TC_iframe_timer_recovery-RSL(1212)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: Established a new IPA connection (conn_id=5) TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1212)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_iframe_timer_recovery": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1215@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1214)@1830904baf87: setverdict(pass): none -> pass 1215@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1215@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1215@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1215@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1215@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1214)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1215@1830904baf87: Final verdict of PTC: none TC_iframe_timer_recovery(1214)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_iframe_timer_recovery": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1217@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1216)@1830904baf87: setverdict(pass): none -> pass 1217@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1217@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1217@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1217@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1217@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1216)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1217@1830904baf87: Final verdict of PTC: none TC_iframe_timer_recovery(1216)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1219@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1218)@1830904baf87: setverdict(pass): none -> pass 1219@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1219@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1219@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1219@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1219@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1218)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1219@1830904baf87: Final verdict of PTC: none TC_iframe_timer_recovery(1218)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1221@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1220)@1830904baf87: setverdict(pass): none -> pass 1221@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1221@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1221@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1221@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1221@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1220)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1221@1830904baf87: Final verdict of PTC: none TC_iframe_timer_recovery(1220)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_iframe_timer_recovery-RSL(1212)@1830904baf87: Final verdict of PTC: none TC_iframe_timer_recovery-RSL-IPA(1211)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1213)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_iframe_timer_recovery-RSL-IPA(1211): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_iframe_timer_recovery-RSL(1212): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1213): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_iframe_timer_recovery(1214): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1215: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_iframe_timer_recovery(1216): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1217: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_iframe_timer_recovery(1218): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1219: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_iframe_timer_recovery(1220): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1221: none (pass -> pass) MTC@1830904baf87: Test case TC_iframe_timer_recovery finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery pass'. Sun Oct 20 06:11:10 UTC 2024 ====== BTS_Tests_LAPDm.TC_iframe_timer_recovery pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_iframe_timer_recovery.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7437048) Waiting for packet dumper to finish... 1 (prev_count=7437048, count=7442221) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error'. ------ BTS_Tests_LAPDm.TC_ns_seq_error ------ Sun Oct 20 06:11:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_ns_seq_error started. TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: Established a new IPA connection (conn_id=2) TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1223)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: Established a new IPA connection (conn_id=3) TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1223)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: Established a new IPA connection (conn_id=4) TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1223)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1223)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: Established a new IPA connection (conn_id=5) TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1223)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1223)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_ns_seq_error-RSL(1223)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1223)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL(1223)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1223)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1223)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1223)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1223)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_ns_seq_error-RSL(1223)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1223)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1223)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_ns_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1226@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1225)@1830904baf87: setverdict(pass): none -> pass 1226@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1226@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1226@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1226@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1225)@1830904baf87: Warning: Re-starting timer T1, which is already active (running or expired). 1226@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1225)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1226@1830904baf87: Final verdict of PTC: none TC_ns_seq_error(1225)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1225)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_ns_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ns_seq_error(1227)@1830904baf87: setverdict(pass): none -> pass 1228@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1228@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1228@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1228@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1228@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1227)@1830904baf87: Warning: Re-starting timer T1, which is already active (running or expired). 1228@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1227)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1228@1830904baf87: Final verdict of PTC: none TC_ns_seq_error(1227)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1227)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_ns_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1230@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1229)@1830904baf87: setverdict(pass): none -> pass 1230@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1230@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1230@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1230@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1229)@1830904baf87: Warning: Re-starting timer T1, which is already active (running or expired). 1230@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1229)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1230@1830904baf87: Final verdict of PTC: none TC_ns_seq_error(1229)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1229)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_ns_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1232@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1231)@1830904baf87: setverdict(pass): none -> pass 1232@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1232@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1232@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1232@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1231)@1830904baf87: Warning: Re-starting timer T1, which is already active (running or expired). 1232@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1231)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1232@1830904baf87: Final verdict of PTC: none TC_ns_seq_error(1231)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1231)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_ns_seq_error-RSL(1223)@1830904baf87: Final verdict of PTC: none TC_ns_seq_error-RSL-IPA(1222)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1224)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_ns_seq_error-RSL-IPA(1222): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ns_seq_error-RSL(1223): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1224): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_ns_seq_error(1225): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1226: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ns_seq_error(1227): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1228: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ns_seq_error(1229): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1230: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_ns_seq_error(1231): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1232: none (pass -> pass) MTC@1830904baf87: Test case TC_ns_seq_error finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error pass'. Sun Oct 20 06:11:27 UTC 2024 ====== BTS_Tests_LAPDm.TC_ns_seq_error pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_ns_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13826820) Waiting for packet dumper to finish... 1 (prev_count=13826820, count=13827317) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error'. ------ BTS_Tests_LAPDm.TC_nr_seq_error ------ Sun Oct 20 06:11:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_nr_seq_error started. TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: Established a new IPA connection (conn_id=2) TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1234)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: Established a new IPA connection (conn_id=3) TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1234)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: Established a new IPA connection (conn_id=4) TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1234)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1234)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: Established a new IPA connection (conn_id=5) TC_nr_seq_error-RSL(1234)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1234)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_nr_seq_error-RSL(1234)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1234)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1234)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1234)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1234)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1234)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1234)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_nr_seq_error-RSL(1234)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1234)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1234)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_nr_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1237@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1236)@1830904baf87: setverdict(pass): none -> pass 1237@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1237@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1237@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1237@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1237@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1236)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1237@1830904baf87: Final verdict of PTC: none TC_nr_seq_error(1236)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1236)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_nr_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1239@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1238)@1830904baf87: setverdict(pass): none -> pass 1239@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1239@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1239@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1239@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1239@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1238)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1239@1830904baf87: Final verdict of PTC: none TC_nr_seq_error(1238)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1238)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_nr_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1241@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1240)@1830904baf87: setverdict(pass): none -> pass 1241@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1241@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1241@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1241@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1241@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1240)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1241@1830904baf87: Final verdict of PTC: none TC_nr_seq_error(1240)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1240)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_nr_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1243@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1242)@1830904baf87: setverdict(pass): none -> pass 1243@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1243@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1243@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1243@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1243@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1242)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1243@1830904baf87: Final verdict of PTC: none TC_nr_seq_error(1242)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1242)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_nr_seq_error-RSL(1234)@1830904baf87: Final verdict of PTC: none TC_nr_seq_error-RSL-IPA(1233)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1235)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_nr_seq_error-RSL-IPA(1233): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_nr_seq_error-RSL(1234): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1235): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_nr_seq_error(1236): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1237: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_nr_seq_error(1238): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1239: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_nr_seq_error(1240): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1241: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_nr_seq_error(1242): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1243: none (pass -> pass) MTC@1830904baf87: Test case TC_nr_seq_error finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass'. Sun Oct 20 06:11:35 UTC 2024 ====== BTS_Tests_LAPDm.TC_nr_seq_error pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_nr_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3751149) Waiting for packet dumper to finish... 1 (prev_count=3751149, count=3756322) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame'. ------ BTS_Tests_LAPDm.TC_rec_invalid_frame ------ Sun Oct 20 06:11:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap" >/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rec_invalid_frame started. TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1245)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1245)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1245)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1245)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL(1245)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1245)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1245)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1245)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL(1245)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1245)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rec_invalid_frame-RSL(1245)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1245)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1245)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1245)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rec_invalid_frame-RSL(1245)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1245)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rec_invalid_frame": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1248@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1247)@1830904baf87: setverdict(pass): none -> pass 1248@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1248@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1248@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1248@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1248@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1247)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1248@1830904baf87: Final verdict of PTC: none TC_rec_invalid_frame(1247)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1247)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rec_invalid_frame": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1250@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1249)@1830904baf87: setverdict(pass): none -> pass 1250@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1250@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1250@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1250@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1250@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1249)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1250@1830904baf87: Final verdict of PTC: none TC_rec_invalid_frame(1249)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1249)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1252@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1251)@1830904baf87: setverdict(pass): none -> pass 1252@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1252@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1252@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1252@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1252@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1251)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1252@1830904baf87: Final verdict of PTC: none TC_rec_invalid_frame(1251)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1251)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1254@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1253)@1830904baf87: setverdict(pass): none -> pass 1254@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1254@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1254@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1254@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1254@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1253)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1254@1830904baf87: Final verdict of PTC: none TC_rec_invalid_frame(1253)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1253)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rec_invalid_frame-RSL(1245)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1246)@1830904baf87: Final verdict of PTC: none TC_rec_invalid_frame-RSL-IPA(1244)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rec_invalid_frame-RSL-IPA(1244): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rec_invalid_frame-RSL(1245): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1246): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rec_invalid_frame(1247): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1248: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rec_invalid_frame(1249): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1250: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rec_invalid_frame(1251): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1252: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rec_invalid_frame(1253): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1254: none (pass -> pass) MTC@1830904baf87: Test case TC_rec_invalid_frame finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame pass'. Sun Oct 20 06:13:57 UTC 2024 ====== BTS_Tests_LAPDm.TC_rec_invalid_frame pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_rec_invalid_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=138998382) Waiting for packet dumper to finish... 1 (prev_count=138998382, count=138998879) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch'. ------ BTS_Tests_LAPDm.TC_segm_concat_dcch ------ Sun Oct 20 06:14:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_segm_concat_dcch started. TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: Established a new IPA connection (conn_id=2) TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1256)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: Established a new IPA connection (conn_id=3) TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1256)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: Established a new IPA connection (conn_id=4) TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1256)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1256)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: Established a new IPA connection (conn_id=5) TC_segm_concat_dcch-RSL(1256)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1256)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_segm_concat_dcch-RSL(1256)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1256)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1256)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1256)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1256)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1256)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1256)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1256)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1256)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1256)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_segm_concat_dcch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1259@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1258)@1830904baf87: setverdict(pass): none -> pass 1259@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1259@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1259@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1259@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1258)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1259@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1258)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1259@1830904baf87: Final verdict of PTC: none TC_segm_concat_dcch(1258)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_segm_concat_dcch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1261@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1260)@1830904baf87: setverdict(pass): none -> pass 1261@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1261@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1261@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1261@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1260)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1261@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1260)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1261@1830904baf87: Final verdict of PTC: none TC_segm_concat_dcch(1260)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1263@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1262)@1830904baf87: setverdict(pass): none -> pass 1263@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1263@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1263@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1263@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1262)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1263@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1262)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1263@1830904baf87: Final verdict of PTC: none TC_segm_concat_dcch(1262)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1265@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1264)@1830904baf87: setverdict(pass): none -> pass 1265@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1265@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1265@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1265@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1264)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1265@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1264)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1265@1830904baf87: Final verdict of PTC: none TC_segm_concat_dcch(1264)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_segm_concat_dcch-RSL(1256)@1830904baf87: Final verdict of PTC: none TC_segm_concat_dcch-RSL-IPA(1255)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1257)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_segm_concat_dcch-RSL-IPA(1255): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_segm_concat_dcch-RSL(1256): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1257): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_segm_concat_dcch(1258): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1259: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_segm_concat_dcch(1260): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1261: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_segm_concat_dcch(1262): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1263: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_segm_concat_dcch(1264): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1265: none (pass -> pass) MTC@1830904baf87: Test case TC_segm_concat_dcch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch pass'. Sun Oct 20 06:14:18 UTC 2024 ====== BTS_Tests_LAPDm.TC_segm_concat_dcch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_dcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12286879) Waiting for packet dumper to finish... 1 (prev_count=12286879, count=12287376) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch'. ------ BTS_Tests_LAPDm.TC_segm_concat_sacch ------ Sun Oct 20 06:14:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_segm_concat_sacch started. TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: Established a new IPA connection (conn_id=2) TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1267)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: Established a new IPA connection (conn_id=3) TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1267)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: Established a new IPA connection (conn_id=4) TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1267)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1267)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: Established a new IPA connection (conn_id=5) TC_segm_concat_sacch-RSL(1267)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1267)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1267)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1267)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL(1267)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1267)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1267)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1267)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1267)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1267)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1267)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1267)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_segm_concat_sacch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1270@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1269)@1830904baf87: setverdict(pass): none -> pass 1270@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1270@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1270@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1270@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1269)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1270@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1269)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1270@1830904baf87: Final verdict of PTC: none TC_segm_concat_sacch(1269)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_segm_concat_sacch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1272@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1271)@1830904baf87: setverdict(pass): none -> pass 1272@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1272@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1272@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1272@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1271)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1272@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1271)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1272@1830904baf87: Final verdict of PTC: none TC_segm_concat_sacch(1271)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1274@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1273)@1830904baf87: setverdict(pass): none -> pass 1274@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1274@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1274@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1274@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1273)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1274@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1273)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1274@1830904baf87: Final verdict of PTC: none TC_segm_concat_sacch(1273)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1276@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1275)@1830904baf87: setverdict(pass): none -> pass 1276@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1276@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1276@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1276@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1275)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1276@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1275)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1276@1830904baf87: Final verdict of PTC: none TC_segm_concat_sacch(1275)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_segm_concat_sacch-RSL(1267)@1830904baf87: Final verdict of PTC: none TC_segm_concat_sacch-RSL-IPA(1266)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1268)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_segm_concat_sacch-RSL-IPA(1266): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_segm_concat_sacch-RSL(1267): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1268): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_segm_concat_sacch(1269): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1270: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_segm_concat_sacch(1271): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1272: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_segm_concat_sacch(1273): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1274: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_segm_concat_sacch(1275): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1276: none (pass -> pass) MTC@1830904baf87: Test case TC_segm_concat_sacch finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch pass'. Sun Oct 20 06:15:22 UTC 2024 ====== BTS_Tests_LAPDm.TC_segm_concat_sacch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=60811030) Waiting for packet dumper to finish... 1 (prev_count=60811030, count=60811527) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200'. ------ BTS_Tests_LAPDm.TC_t200_n200 ------ Sun Oct 20 06:15:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_t200_n200.pcap" >/data/BTS_Tests_LAPDm.TC_t200_n200.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_t200_n200 started. TC_t200_n200-RSL-IPA(1277)@1830904baf87: Established a new IPA connection (conn_id=2) TC_t200_n200-RSL(1278)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL-IPA(1277)@1830904baf87: Established a new IPA connection (conn_id=3) TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1278)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1277)@1830904baf87: Established a new IPA connection (conn_id=4) TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1278)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_t200_n200-RSL-IPA(1277)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1278)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1277)@1830904baf87: Established a new IPA connection (conn_id=5) TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1278)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1278)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_t200_n200-RSL(1278)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_t200_n200-RSL-IPA(1277)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_t200_n200-RSL-IPA(1277)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_t200_n200-RSL-IPA(1277)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_t200_n200-RSL(1278)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL(1278)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1278)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_t200_n200-RSL(1278)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_t200_n200-RSL(1278)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1278)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_t200_n200-RSL(1278)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_t200_n200-RSL(1278)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1278)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_t200_n200": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1281@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1280)@1830904baf87: setverdict(pass): none -> pass 1281@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1281@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1281@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1281@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1280)@1830904baf87: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1281@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1280)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1281@1830904baf87: Final verdict of PTC: none TC_t200_n200(1280)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_t200_n200": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1283@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1282)@1830904baf87: setverdict(pass): none -> pass 1283@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1283@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1283@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1283@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1282)@1830904baf87: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1283@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1282)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1283@1830904baf87: Final verdict of PTC: none TC_t200_n200(1282)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_t200_n200": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1285@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1284)@1830904baf87: setverdict(pass): none -> pass 1285@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1285@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1285@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1285@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1284)@1830904baf87: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1285@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1284)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1285@1830904baf87: Final verdict of PTC: none TC_t200_n200(1284)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_t200_n200": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1287@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1286)@1830904baf87: setverdict(pass): none -> pass 1287@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1287@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1287@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1287@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1286)@1830904baf87: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1287@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1286)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1287@1830904baf87: Final verdict of PTC: none TC_t200_n200(1286)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_t200_n200-RSL(1278)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1279)@1830904baf87: Final verdict of PTC: none TC_t200_n200-RSL-IPA(1277)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_t200_n200-RSL-IPA(1277): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_t200_n200-RSL(1278): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1279): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_t200_n200(1280): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1281: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_t200_n200(1282): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1283: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_t200_n200(1284): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1285: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_t200_n200(1286): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1287: none (pass -> pass) MTC@1830904baf87: Test case TC_t200_n200 finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 pass'. Sun Oct 20 06:16:08 UTC 2024 ====== BTS_Tests_LAPDm.TC_t200_n200 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_t200_n200.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=38411499) Waiting for packet dumper to finish... 1 (prev_count=38411499, count=38411996) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss'. ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss ------ Sun Oct 20 06:16:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap" >/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_rr_response_frame_loss started. TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: Established a new IPA connection (conn_id=2) TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1289)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: Established a new IPA connection (conn_id=3) TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1289)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: Established a new IPA connection (conn_id=4) TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1289)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: Established a new IPA connection (conn_id=5) TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1289)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_rr_response_frame_loss": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1292@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1291)@1830904baf87: setverdict(pass): none -> pass 1292@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1292@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1292@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1292@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1291)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1292@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1291)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1292@1830904baf87: Final verdict of PTC: none TC_rr_response_frame_loss(1291)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rr_response_frame_loss": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1294@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1293)@1830904baf87: setverdict(pass): none -> pass 1294@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1294@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1294@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1294@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1293)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1294@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1293)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1294@1830904baf87: Final verdict of PTC: none TC_rr_response_frame_loss(1293)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1296@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1295)@1830904baf87: setverdict(pass): none -> pass 1296@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1296@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1296@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1296@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1295)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1296@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1295)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1296@1830904baf87: Final verdict of PTC: none TC_rr_response_frame_loss(1295)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1298@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1297)@1830904baf87: setverdict(pass): none -> pass 1298@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1298@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1298@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1298@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1297)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1298@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1297)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1298@1830904baf87: Final verdict of PTC: none TC_rr_response_frame_loss(1297)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rr_response_frame_loss-RSL(1289)@1830904baf87: Final verdict of PTC: none TC_rr_response_frame_loss-RSL-IPA(1288)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1290)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_rr_response_frame_loss-RSL-IPA(1288): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rr_response_frame_loss-RSL(1289): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1290): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_rr_response_frame_loss(1291): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1292: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rr_response_frame_loss(1293): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1294: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rr_response_frame_loss(1295): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1296: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_rr_response_frame_loss(1297): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1298: none (pass -> pass) MTC@1830904baf87: Test case TC_rr_response_frame_loss finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss pass'. Sun Oct 20 06:16:16 UTC 2024 ====== BTS_Tests_LAPDm.TC_rr_response_frame_loss pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_rr_response_frame_loss.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4444883) Waiting for packet dumper to finish... 1 (prev_count=4444883, count=4445508) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr'. ------ BTS_Tests_LAPDm.TC_incorrect_cr ------ Sun Oct 20 06:16:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap" >/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_incorrect_cr started. TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: Established a new IPA connection (conn_id=2) TC_incorrect_cr-RSL(1300)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: Established a new IPA connection (conn_id=3) TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1300)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: Established a new IPA connection (conn_id=4) TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1300)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1300)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: Established a new IPA connection (conn_id=5) TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1300)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1300)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_incorrect_cr-RSL(1300)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1300)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1300)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1300)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1300)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1300)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1300)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1300)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL(1300)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1300)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_incorrect_cr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1303@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1302)@1830904baf87: setverdict(pass): none -> pass 1303@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1303@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1303@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1303@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1303@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1302)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1303@1830904baf87: Final verdict of PTC: none TC_incorrect_cr(1302)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_incorrect_cr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1305@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1304)@1830904baf87: setverdict(pass): none -> pass 1305@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1305@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1305@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1305@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1305@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1304)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1305@1830904baf87: Final verdict of PTC: none TC_incorrect_cr(1304)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_incorrect_cr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1307@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1306)@1830904baf87: setverdict(pass): none -> pass 1307@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1307@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1307@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1307@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1307@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1306)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1307@1830904baf87: Final verdict of PTC: none TC_incorrect_cr(1306)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_incorrect_cr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1309@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1308)@1830904baf87: setverdict(pass): none -> pass 1309@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1309@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1309@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1309@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1309@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1308)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1309@1830904baf87: Final verdict of PTC: none TC_incorrect_cr(1308)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_incorrect_cr-RSL(1300)@1830904baf87: Final verdict of PTC: none TC_incorrect_cr-RSL-IPA(1299)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1301)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_incorrect_cr-RSL-IPA(1299): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_incorrect_cr-RSL(1300): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1301): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_incorrect_cr(1302): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1303: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_incorrect_cr(1304): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1305: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_incorrect_cr(1306): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1307: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_incorrect_cr(1308): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1309: none (pass -> pass) MTC@1830904baf87: Test case TC_incorrect_cr finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass'. Sun Oct 20 06:16:36 UTC 2024 ====== BTS_Tests_LAPDm.TC_incorrect_cr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_incorrect_cr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16008262) Waiting for packet dumper to finish... 1 (prev_count=16008262, count=16008759) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c'. ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c ------ Sun Oct 20 06:16:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_sabm_incorrect_c started. TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: Established a new IPA connection (conn_id=2) TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1311)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: Established a new IPA connection (conn_id=3) TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1311)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: Established a new IPA connection (conn_id=4) TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1311)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: Established a new IPA connection (conn_id=5) TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1311)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_sabm_incorrect_c": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1314@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1313)@1830904baf87: setverdict(pass): none -> pass 1314@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1314@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1314@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1314@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1314@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1313)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1314@1830904baf87: Final verdict of PTC: none TC_sabm_incorrect_c(1313)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_incorrect_c": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1316@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1315)@1830904baf87: setverdict(pass): none -> pass 1316@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1316@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1316@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1316@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1316@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1315)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1316@1830904baf87: Final verdict of PTC: none TC_sabm_incorrect_c(1315)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1318@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1317)@1830904baf87: setverdict(pass): none -> pass 1318@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1318@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1318@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1318@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1318@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1317)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1318@1830904baf87: Final verdict of PTC: none TC_sabm_incorrect_c(1317)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1320@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1319)@1830904baf87: setverdict(pass): none -> pass 1320@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1320@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1320@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1320@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1320@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1319)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1320@1830904baf87: Final verdict of PTC: none TC_sabm_incorrect_c(1319)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_incorrect_c-RSL(1311)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1312)@1830904baf87: Final verdict of PTC: none TC_sabm_incorrect_c-RSL-IPA(1310)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_sabm_incorrect_c-RSL-IPA(1310): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_incorrect_c-RSL(1311): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1312): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_sabm_incorrect_c(1313): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1314: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_incorrect_c(1315): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1316: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_incorrect_c(1317): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1318: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_sabm_incorrect_c(1319): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1320: none (pass -> pass) MTC@1830904baf87: Test case TC_sabm_incorrect_c finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c pass'. Sun Oct 20 06:16:44 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_incorrect_c pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_incorrect_c.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4892738) Waiting for packet dumper to finish... 1 (prev_count=4892738, count=4898348) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment ------ Sun Oct 20 06:16:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_normal_reestablishment started. TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: Established a new IPA connection (conn_id=2) TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1322)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: Established a new IPA connection (conn_id=3) TC_normal_reestablishment-RSL(1322)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: Established a new IPA connection (conn_id=4) TC_normal_reestablishment-RSL(1322)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1322)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: Established a new IPA connection (conn_id=5) TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1322)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1322)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_normal_reestablishment-RSL(1322)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1322)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL(1322)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1322)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1322)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL(1322)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1322)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1322)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL(1322)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1322)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_normal_reestablishment": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1325@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1324)@1830904baf87: setverdict(pass): none -> pass 1325@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1325@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1325@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1325@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1324)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1324)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1325@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1324)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1325@1830904baf87: Final verdict of PTC: none TC_normal_reestablishment(1324)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_normal_reestablishment": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1327@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1326)@1830904baf87: setverdict(pass): none -> pass 1327@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1327@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1327@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1327@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1326)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1326)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1327@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1326)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1327@1830904baf87: Final verdict of PTC: none TC_normal_reestablishment(1326)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_normal_reestablishment": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1329@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1328)@1830904baf87: setverdict(pass): none -> pass 1329@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1329@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1329@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1329@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1328)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1328)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1329@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1328)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1329@1830904baf87: Final verdict of PTC: none TC_normal_reestablishment(1328)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_normal_reestablishment": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1331@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1330)@1830904baf87: setverdict(pass): none -> pass 1331@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1331@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1331@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1331@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1330)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1330)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1331@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1330)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1331@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_normal_reestablishment(1330)@1830904baf87: Final verdict of PTC: pass IPA-CTRL-CLI-IPA(1323)@1830904baf87: Final verdict of PTC: none TC_normal_reestablishment-RSL(1322)@1830904baf87: Final verdict of PTC: none TC_normal_reestablishment-RSL-IPA(1321)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_normal_reestablishment-RSL-IPA(1321): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_normal_reestablishment-RSL(1322): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1323): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_normal_reestablishment(1324): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1325: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_normal_reestablishment(1326): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1327: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_normal_reestablishment(1328): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1329: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_normal_reestablishment(1330): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1331: none (pass -> pass) MTC@1830904baf87: Test case TC_normal_reestablishment finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment pass'. Sun Oct 20 06:17:11 UTC 2024 ====== BTS_Tests_LAPDm.TC_normal_reestablishment pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22220077) Waiting for packet dumper to finish... 1 (prev_count=22220077, count=22220574) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked ------ Sun Oct 20 06:17:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_normal_reestablishment_state_unacked started. TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: Established a new IPA connection (conn_id=2) TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: Established a new IPA connection (conn_id=3) TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: Established a new IPA connection (conn_id=4) TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: Established a new IPA connection (conn_id=5) TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1336@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1335)@1830904baf87: setverdict(pass): none -> pass 1336@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1336@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1336@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1336@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1335)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1336@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1335)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1336@1830904baf87: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1335)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1338@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1337)@1830904baf87: setverdict(pass): none -> pass 1338@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1338@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1338@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1338@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1337)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1338@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1337)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1338@1830904baf87: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1337)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1340@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1339)@1830904baf87: setverdict(pass): none -> pass 1340@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1340@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1340@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1340@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1339)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1340@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1339)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1340@1830904baf87: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1339)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1342@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1341)@1830904baf87: setverdict(pass): none -> pass 1342@1830904baf87: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1342@1830904baf87: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1342@1830904baf87: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1342@1830904baf87: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1341)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1342@1830904baf87: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1341)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed 1342@1830904baf87: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1341)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_normal_reestablishment_state_unacked-RSL(1333)@1830904baf87: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1334)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL-IPA(1332): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL(1333): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1334): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_normal_reestablishment_state_unacked(1335): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1336: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_normal_reestablishment_state_unacked(1337): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1338: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_normal_reestablishment_state_unacked(1339): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1340: none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_normal_reestablishment_state_unacked(1341): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC with component reference 1342: none (pass -> pass) MTC@1830904baf87: Test case TC_normal_reestablishment_state_unacked finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass'. Sun Oct 20 06:17:30 UTC 2024 ====== BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15023097) Waiting for packet dumper to finish... 1 (prev_count=15023097, count=15028270) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass' was executed successfully (exit status: 0). MC@1830904baf87: Test execution finished. MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vff ------ Sun Oct 20 06:17:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_act_vff started. TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff(1346)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1347)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1346)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1346)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vff(1347)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1347)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vff(1348)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1349)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1348)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1348)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vff(1349)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1349)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vff(1350)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1351)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1350)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1350)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vff(1351)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1351)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vff(1352)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1353)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1352)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1352)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vff(1353)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1353)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vff-RSL(1344)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1345)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_act_vff-RSL-IPA(1343)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vff-RSL-IPA(1343): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vff-RSL(1344): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1345): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vff(1346): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vff(1347): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vff(1348): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vff(1349): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vff(1350): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vff(1351): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vff(1352): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vff(1353): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_act_vff finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass'. Sun Oct 20 06:17:36 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2844006) Waiting for packet dumper to finish... 1 (prev_count=2844006, count=2844503) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vhh ------ Sun Oct 20 06:17:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_act_vhh started. TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh(1357)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1358)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1359)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1360)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1357)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1357)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1358)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1358)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1359)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1359)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1360)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1360)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1361)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1362)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1363)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1364)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1361)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1361)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1362)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1362)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1363)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1363)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1364)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1364)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1365)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1366)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1367)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1368)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1365)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1365)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1366)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1366)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1367)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1367)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1368)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1368)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1369)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1370)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1371)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1372)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1369)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1369)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1370)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1370)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1371)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1371)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1372)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1372)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vhh-RSL(1355)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1356)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_act_vhh-RSL-IPA(1354)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh-RSL-IPA(1354): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh-RSL(1355): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1356): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1357): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1358): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1359): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1360): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1361): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1362): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1363): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1364): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1365): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1366): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1367): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1368): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1369): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1370): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1371): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_vhh(1372): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_act_vhh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass'. Sun Oct 20 06:17:43 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3057962) Waiting for packet dumper to finish... 1 (prev_count=3057962, count=3058459) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh ------ Sun Oct 20 06:17:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_act_hvhh started. TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh(1376)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1377)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1378)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1376)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1376)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1377)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1377)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1378)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1378)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1379)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1380)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1381)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1379)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1379)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1380)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1380)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1381)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1381)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1382)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1383)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1384)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1382)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1382)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1383)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1383)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1384)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1384)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1385)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1386)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1387)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1385)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1385)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1386)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1386)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1387)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1387)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_hvhh-RSL(1374)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_act_hvhh-RSL-IPA(1373)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1375)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL-IPA(1373): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL(1374): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1375): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh(1376): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh(1377): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh(1378): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh(1379): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh(1380): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh(1381): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh(1382): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh(1383): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh(1384): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh(1385): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh(1386): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_hvhh(1387): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_act_hvhh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass'. Sun Oct 20 06:17:50 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2949200) Waiting for packet dumper to finish... 1 (prev_count=2949200, count=2949697) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff ------ Sun Oct 20 06:17:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_act_dyn_ipa_vff started. TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff(1391)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1392)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1391)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1391)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1392)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1392)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1393)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1394)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1393)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1393)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1394)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1394)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1395)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1396)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1395)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1395)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1396)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1396)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1397)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1398)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1397)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1397)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1398)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1398)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1390)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL(1389): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1390): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1391): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1392): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1393): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1394): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1395): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1396): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1397): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1398): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_act_dyn_ipa_vff finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass'. Sun Oct 20 06:17:57 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2848422) Waiting for packet dumper to finish... 1 (prev_count=2848422, count=2848919) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff ------ Sun Oct 20 06:18:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_act_dyn_osmo_vff started. TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff(1402)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1403)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1402)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1402)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1403)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1403)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1404)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1405)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1404)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1404)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1405)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1405)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1406)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1407)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1406)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1406)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1407)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1407)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1408)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1409)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1408)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1408)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1409)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1409)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1401)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL(1400): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1401): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1402): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1403): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1404): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1405): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1406): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1407): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1408): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1409): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_act_dyn_osmo_vff finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass'. Sun Oct 20 06:18:04 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2855917) Waiting for packet dumper to finish... 1 (prev_count=2855917, count=2856851) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh ------ Sun Oct 20 06:18:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_act_dyn_osmo_vhh started. TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh(1413)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1414)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1415)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1416)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1413)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1413)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1414)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1414)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1415)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1415)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1416)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1416)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1417)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1418)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1419)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1420)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1417)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1417)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1418)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1418)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1419)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1419)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1420)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1420)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1421)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1422)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1423)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1424)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1421)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1421)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1422)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1422)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1423)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1423)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1424)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1424)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1425)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1426)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1427)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1428)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1425)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1425)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1426)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1426)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1427)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1427)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1428)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1428)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1412)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1412): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1413): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1414): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1415): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1416): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1417): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1418): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1419): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1420): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1421): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1422): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1423): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1424): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1425): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1426): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1427): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1428): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_act_dyn_osmo_vhh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass'. Sun Oct 20 06:18:11 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3066324) Waiting for packet dumper to finish... 1 (prev_count=3066324, count=3066821) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh ------ Sun Oct 20 06:18:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_act_dyn_osmo_hvhh started. TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh(1432)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1433)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1434)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1432)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1432)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1433)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1433)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1434)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1434)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1435)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1436)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1437)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1435)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1435)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1436)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1436)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1437)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1437)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1438)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1439)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1440)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1438)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1438)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1439)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1439)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1440)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1440)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1441)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1442)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1443)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1441)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1441)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1442)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1442)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1443)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1443)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1431)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1431): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1432): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1433): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1434): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1435): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1436): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1437): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1438): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1439): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1440): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1441): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1442): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1443): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_act_dyn_osmo_hvhh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass'. Sun Oct 20 06:18:18 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2953907) Waiting for packet dumper to finish... 1 (prev_count=2953907, count=2954404) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff ------ Sun Oct 20 06:18:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_vff started. TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff(1447)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1447)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1447)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1447)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1448)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1448)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1448)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1448)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1449)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1449)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1449)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1449)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1450)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1450)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1450)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1450)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1451)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1451)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1451)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1451)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1452)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1452)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1452)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1452)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1453)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1453)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1453)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1453)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1454)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1454)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1454)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1454)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vff-RSL(1445)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1446)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL-IPA(1444): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL(1445): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1446): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1447): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1448): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1449): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1450): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1451): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1452): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1453): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1454): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_vff finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass'. Sun Oct 20 06:18:24 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2091527) Waiting for packet dumper to finish... 1 (prev_count=2091527, count=2092461) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh ------ Sun Oct 20 06:18:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_vhh started. TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh(1458)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1458)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1458)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1458)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1459)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1459)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1459)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1459)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1460)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1460)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1460)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1460)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1461)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1461)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1461)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1461)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1462)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1462)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1462)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1462)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1463)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1463)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1463)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1463)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1464)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1464)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1464)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1464)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1465)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1465)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1465)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1465)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1466)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1466)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1466)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1466)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1467)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1467)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1467)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1467)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1468)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1468)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1468)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1468)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1469)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1469)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1469)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1469)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1470)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1470)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1470)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1470)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1471)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1471)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1471)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1471)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1472)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1472)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1472)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1472)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1473)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1473)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1473)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1473)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vhh-RSL(1456)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1457)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL(1456): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1457): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1458): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1459): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1460): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1461): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1462): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1463): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1464): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1465): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1466): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1467): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1468): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1469): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1470): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1471): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1472): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1473): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_vhh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass'. Sun Oct 20 06:18:30 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2264011) Waiting for packet dumper to finish... 1 (prev_count=2264011, count=2269184) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh ------ Sun Oct 20 06:18:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_hvhh started. TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh(1477)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1477)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1477)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1477)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1478)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1478)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1478)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1478)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1479)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1479)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1479)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1479)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1480)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1480)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1480)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1480)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1481)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1481)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1481)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1481)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1482)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1482)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1482)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1482)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1483)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1483)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1483)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1483)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1484)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1484)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1484)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1484)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1485)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1485)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1485)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1485)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1486)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1486)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1486)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1486)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1487)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1487)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1487)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1487)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1488)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1488)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1488)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1488)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_hvhh-RSL(1475)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1476)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL(1475): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1476): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1477): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1478): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1479): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1480): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1481): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1482): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1483): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1484): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1485): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1486): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1487): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1488): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_hvhh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass'. Sun Oct 20 06:18:35 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2182199) Waiting for packet dumper to finish... 1 (prev_count=2182199, count=2187372) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff ------ Sun Oct 20 06:18:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff started. TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1497)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1497)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1497)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1497)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1498)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1498)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1498)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1498)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1499)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1499)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1499)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1499)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 IPA-CTRL-CLI-IPA(1491)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1491): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1492): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1493): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1494): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1495): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1496): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1497): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1498): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1499): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass'. Sun Oct 20 06:18:41 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2121947) Waiting for packet dumper to finish... 1 (prev_count=2121947, count=2122444) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff ------ Sun Oct 20 06:18:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff started. TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1508)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1508)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1508)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1508)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1509)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1509)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1509)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1509)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1510)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1510)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1510)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1510)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1502)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1502): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1503): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1504): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1505): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1506): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1507): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1508): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1509): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1510): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass'. Sun Oct 20 06:18:47 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2123064) Waiting for packet dumper to finish... 1 (prev_count=2123064, count=2123561) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh ------ Sun Oct 20 06:18:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh started. TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1513)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1513): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass'. Sun Oct 20 06:18:52 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2293910) Waiting for packet dumper to finish... 1 (prev_count=2293910, count=2294499) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh ------ Sun Oct 20 06:18:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh started. TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543)@1830904baf87: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544)@1830904baf87: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1532)@1830904baf87: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1532): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533): pass (none -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543): pass (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544): pass (pass -> pass) MTC@1830904baf87: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass'. Sun Oct 20 06:18:58 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2219522) Waiting for packet dumper to finish... 1 (prev_count=2219522, count=2220019) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MC@1830904baf87: Test execution finished. MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification'. ------ BTS_Tests_ASCI.TC_vbs_notification ------ Sun Oct 20 06:19:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vbs_notification.pcap" >/data/BTS_Tests_ASCI.TC_vbs_notification.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vbs_notification started. TC_vbs_notification-RSL-IPA(1545)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1546)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1545)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1546)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1545)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1546)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vbs_notification-RSL-IPA(1545)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1546)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL(1546)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1546)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_vbs_notification-RSL-IPA(1545)@1830904baf87: Established a new IPA connection (conn_id=5) MTC@1830904baf87: 1/4 transceiver(s) connected TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1546)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vbs_notification-RSL-IPA(1545)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vbs_notification-RSL-IPA(1545)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1546)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vbs_notification-RSL-IPA(1545)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vbs_notification-RSL(1546)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1546)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_vbs_notification-RSL-IPA(1545)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1546)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1546)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1546)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_vbs_notification-RSL(1546)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1546)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1546)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E5040083'O MTC@1830904baf87: Sending RSL NOTIF_CMD (start) MTC@1830904baf87: Received matching NOTIFICATION/NCH. MTC@1830904baf87: Received matching NOTIFICATION/NCH. MTC@1830904baf87: Sending RSL NOTIF_CMD (stop) MTC@1830904baf87: Not received NOTIFICATION/NCH. (as expected) MTC@1830904baf87: setverdict(pass): none -> pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":134 TC_vbs_notification-RSL(1546)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1547)@1830904baf87: Final verdict of PTC: none TC_vbs_notification-RSL-IPA(1545)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: pass MTC@1830904baf87: Local verdict of PTC TC_vbs_notification-RSL-IPA(1545): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC TC_vbs_notification-RSL(1546): none (pass -> pass) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1547): none (pass -> pass) MTC@1830904baf87: Test case TC_vbs_notification finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification pass'. Sun Oct 20 06:19:07 UTC 2024 ====== BTS_Tests_ASCI.TC_vbs_notification pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_ASCI.TC_vbs_notification.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5080248) Waiting for packet dumper to finish... 1 (prev_count=5080248, count=5080745) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy'. ------ BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy ------ Sun Oct 20 06:19:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vgcs_uplink_free_and_busy started. TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@1830904baf87: 1/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Activating VGCS channel. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): none -> pass TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Send UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Send UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 2, m := false, el := 1, payload := '062A'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Received UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":197 TC_vgcs_uplink_free_and_busy-RSL(1549)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1550)@1830904baf87: Final verdict of PTC: none TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL-IPA(1548): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL(1549): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1550): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vgcs_uplink_free_and_busy(1551): pass (none -> pass) MTC@1830904baf87: Test case TC_vgcs_uplink_free_and_busy finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass'. Sun Oct 20 06:19:13 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2561004) Waiting for packet dumper to finish... 1 (prev_count=2561004, count=2561501) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail'. ------ BTS_Tests_ASCI.TC_vgcs_talker_fail ------ Sun Oct 20 06:19:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vgcs_talker_fail started. TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1553)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1553)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1553)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1553)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 2/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail(1555)@1830904baf87: Activating VGCS channel. TC_vgcs_talker_fail(1555)@1830904baf87: setverdict(pass): none -> pass TC_vgcs_talker_fail(1555)@1830904baf87: Send UPLINK FREE. TC_vgcs_talker_fail(1555)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_fail(1555)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1555)@1830904baf87: Received UPLINK FREE. TC_vgcs_talker_fail(1555)@1830904baf87: Send UPLINK ACCESS. TC_vgcs_talker_fail(1555)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1555)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1555)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 6, m := false, el := 1, payload := '0609C0048800'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++") } } TC_vgcs_talker_fail(1555)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1555)@1830904baf87: Received VGCS UPLINK GRANT. TC_vgcs_talker_fail(1555)@1830904baf87: RSL Talker Detect has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_TALKER_DET (53), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_talker_fail(1555)@1830904baf87: RSL Conn Fail Ind has been detected as expected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CONN_FAIL (36), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_RADIO_LINK_FAIL (1), cause_ext := omit } } } } } TC_vgcs_talker_fail(1555)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1555)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":297 IPA-CTRL-CLI-IPA(1554)@1830904baf87: Final verdict of PTC: none TC_vgcs_talker_fail-RSL(1553)@1830904baf87: Final verdict of PTC: none TC_vgcs_talker_fail-RSL-IPA(1552)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vgcs_talker_fail-RSL-IPA(1552): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vgcs_talker_fail-RSL(1553): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1554): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vgcs_talker_fail(1555): pass (none -> pass) MTC@1830904baf87: Test case TC_vgcs_talker_fail finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail pass'. Sun Oct 20 06:19:34 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_talker_fail pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_fail.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19735230) Waiting for packet dumper to finish... 1 (prev_count=19735230, count=19736164) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel'. ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel ------ Sun Oct 20 06:19:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vgcs_talker_est_rel started. TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@1830904baf87: 1/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel(1559)@1830904baf87: Activating VGCS channel. TC_vgcs_talker_est_rel(1559)@1830904baf87: setverdict(pass): none -> pass TC_vgcs_talker_est_rel(1559)@1830904baf87: Send UPLINK FREE. TC_vgcs_talker_est_rel(1559)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1559)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@1830904baf87: Received UPLINK FREE. TC_vgcs_talker_est_rel(1559)@1830904baf87: Send UPLINK ACCESS. TC_vgcs_talker_est_rel(1559)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1559)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1559)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 6, m := false, el := 1, payload := '0609C0048800'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++") } } TC_vgcs_talker_est_rel(1559)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@1830904baf87: Received VGCS UPLINK GRANT. TC_vgcs_talker_est_rel(1559)@1830904baf87: RSL Talker Detect has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_TALKER_DET (53), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_talker_est_rel(1559)@1830904baf87: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_vgcs_talker_est_rel(1559)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@1830904baf87: Send UPLINK FREE. TC_vgcs_talker_est_rel(1559)@1830904baf87: Rx LAPDm { bter := { payload := '0353012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_talker_est_rel(1559)@1830904baf87: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1559)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@1830904baf87: Received UPLINK FREE. TC_vgcs_talker_est_rel(1559)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":340 TC_vgcs_talker_est_rel-RSL(1557)@1830904baf87: Final verdict of PTC: none TC_vgcs_talker_est_rel-RSL-IPA(1556)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1558)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vgcs_talker_est_rel-RSL-IPA(1556): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vgcs_talker_est_rel-RSL(1557): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1558): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vgcs_talker_est_rel(1559): pass (none -> pass) MTC@1830904baf87: Test case TC_vgcs_talker_est_rel finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass'. Sun Oct 20 06:19:40 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_est_rel.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2322677) Waiting for packet dumper to finish... 1 (prev_count=2322677, count=2327850) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass' was executed successfully (exit status: 0). MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det'. ------ BTS_Tests_ASCI.TC_vgcs_listener_det ------ Sun Oct 20 06:19:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@1830904baf87: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det' was executed successfully (exit status: 0). MTC@1830904baf87: Test case TC_vgcs_listener_det started. TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: Established a new IPA connection (conn_id=2) TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1561)@1830904baf87: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: Established a new IPA connection (conn_id=3) TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1561)@1830904baf87: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: Established a new IPA connection (conn_id=4) TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1561)@1830904baf87: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1561)@1830904baf87: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: Established a new IPA connection (conn_id=5) TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1561)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1561)@1830904baf87: Mapped TRX#0 to TCP/IP conn_id=2 MTC@1830904baf87: 1/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1561)@1830904baf87: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@1830904baf87: 1/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL(1561)@1830904baf87: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1561)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1561)@1830904baf87: Mapped TRX#1 to TCP/IP conn_id=3 MTC@1830904baf87: 2/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1561)@1830904baf87: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@1830904baf87: 2/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1561)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1561)@1830904baf87: Mapped TRX#2 to TCP/IP conn_id=4 MTC@1830904baf87: 3/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1561)@1830904baf87: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@1830904baf87: 3/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1561)@1830904baf87: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1561)@1830904baf87: Mapped TRX#3 to TCP/IP conn_id=5 MTC@1830904baf87: 4/4 transceiver(s) connected MTC@1830904baf87: 4/4 RF Resource Indication(s) received MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@1830904baf87: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@1830904baf87: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det(1563)@1830904baf87: Activating VGCS channel. TC_vgcs_listener_det(1563)@1830904baf87: setverdict(pass): none -> pass TC_vgcs_listener_det(1563)@1830904baf87: Send UPLINK ACCESS. TC_vgcs_listener_det(1563)@1830904baf87: RSL Talker Listener has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_LISTENER_DET (54), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_listener_det(1563)@1830904baf87: Send second UPLINK ACCESS. TC_vgcs_listener_det(1563)@1830904baf87: Timeout waiting for RSL Listener Detect, as expected. TC_vgcs_listener_det(1563)@1830904baf87: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_listener_det(1563)@1830904baf87: Final verdict of PTC: pass MTC@1830904baf87: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":418 TC_vgcs_listener_det-RSL(1561)@1830904baf87: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1562)@1830904baf87: Final verdict of PTC: none TC_vgcs_listener_det-RSL-IPA(1560)@1830904baf87: Final verdict of PTC: none MTC@1830904baf87: Setting final verdict of the test case. MTC@1830904baf87: Local verdict of MTC: none MTC@1830904baf87: Local verdict of PTC TC_vgcs_listener_det-RSL-IPA(1560): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vgcs_listener_det-RSL(1561): none (none -> none) MTC@1830904baf87: Local verdict of PTC IPA-CTRL-CLI-IPA(1562): none (none -> none) MTC@1830904baf87: Local verdict of PTC TC_vgcs_listener_det(1563): pass (none -> pass) MTC@1830904baf87: Test case TC_vgcs_listener_det finished. Verdict: pass MTC@1830904baf87: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det pass'. Sun Oct 20 06:19:48 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_listener_det pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_ASCI.TC_vgcs_listener_det.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4414452) Waiting for packet dumper to finish... 1 (prev_count=4414452, count=4414949) MTC@1830904baf87: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det pass' was executed successfully (exit status: 0). MC@1830904baf87: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@1830904baf87: Terminating MTC. MC@1830904baf87: MTC terminated. MC2> exit MC@1830904baf87: Shutting down session. MC@1830904baf87: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-21.log' -------------------- pass BTS_Tests.TC_est_dchan pass BTS_Tests.TC_chan_act_stress pass BTS_Tests.TC_chan_act_react pass BTS_Tests.TC_chan_deact_not_active pass BTS_Tests.TC_chan_act_wrong_nr pass BTS_Tests.TC_deact_sacch pass BTS_Tests.TC_sacch_filling pass BTS_Tests.TC_sacch_info_mod pass BTS_Tests.TC_sacch_multi pass BTS_Tests.TC_sacch_multi_chg pass BTS_Tests.TC_sacch_chan_act pass BTS_Tests.TC_sacch_chan_act_ho_async pass BTS_Tests.TC_sacch_chan_act_ho_sync pass BTS_Tests.TC_rach_content pass BTS_Tests.TC_rach_content_emerg pass BTS_Tests.TC_rach_count pass BTS_Tests.TC_rach_max_ta pass BTS_Tests.TC_ho_rach xfail BTS_Tests.TC_ho_physical_info pass BTS_Tests.TC_rach_load_idle_thresh0 pass BTS_Tests.TC_rach_load_idle_below_thresh pass BTS_Tests.TC_rach_load_count pass BTS_Tests.TC_meas_res_speech_tchf pass BTS_Tests.TC_meas_res_speech_tchf_facch pass BTS_Tests.TC_meas_res_speech_tchh pass BTS_Tests.TC_meas_res_speech_tchh_facch pass BTS_Tests.TC_meas_res_speech_tchh_toa256 pass BTS_Tests.TC_meas_res_sign_tchf pass BTS_Tests.TC_meas_res_sign_tchh pass BTS_Tests.TC_meas_res_sign_sdcch4 pass BTS_Tests.TC_meas_res_sign_sdcch8 pass BTS_Tests.TC_meas_res_sign_tchh_toa256 pass BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass BTS_Tests.TC_tx_power_start_ramp_up_bcch pass BTS_Tests.TC_tx_power_down_bcch pass BTS_Tests.TC_tx_power_ramp_adm_state_change pass BTS_Tests.TC_rsl_bs_pwr_static_ass pass BTS_Tests.TC_rsl_bs_pwr_static_power_control pass BTS_Tests.TC_rsl_ms_pwr_ctrl pass BTS_Tests.TC_rsl_ms_pwr_dyn_active pass BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass BTS_Tests.TC_rsl_ms_pwr_dyn_up pass BTS_Tests.TC_rsl_ms_pwr_dyn_down pass BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass BTS_Tests.TC_rsl_ms_pwr_dyn_max pass BTS_Tests.TC_rsl_chan_initial_ms_pwr pass BTS_Tests.TC_rsl_chan_initial_ta pass BTS_Tests.TC_rsl_modify_encr pass BTS_Tests.TC_rsl_rf_resource_ind pass BTS_Tests.TC_conn_fail_crit pass BTS_Tests.TC_paging_imsi_80percent pass BTS_Tests.TC_paging_tmsi_80percent pass BTS_Tests.TC_paging_imsi_200percent pass BTS_Tests.TC_paging_tmsi_200percent pass BTS_Tests.TC_rsl_protocol_error pass BTS_Tests.TC_rsl_mand_ie_error pass BTS_Tests.TC_rsl_ie_content_error pass BTS_Tests.TC_si_sched_default pass BTS_Tests.TC_si_sched_1 pass BTS_Tests.TC_si_sched_2bis pass BTS_Tests.TC_si_sched_2ter pass BTS_Tests.TC_si_sched_2ter_2bis pass BTS_Tests.TC_si_sched_2quater pass BTS_Tests.TC_si_sched_13 pass BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass BTS_Tests.TC_ipa_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_twice_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_sdcch_not_active xfail BTS_Tests.TC_ipa_crcx_ack_addr pass BTS_Tests.TC_paging_imsi_200percent_with_ps pass BTS_Tests.TC_pcu_act_req pass BTS_Tests.TC_pcu_act_req_wrong_ts pass BTS_Tests.TC_pcu_act_req_wrong_bts pass BTS_Tests.TC_pcu_act_req_wrong_trx pass BTS_Tests.TC_pcu_deact_req pass BTS_Tests.TC_pcu_deact_req_wrong_ts pass BTS_Tests.TC_pcu_ver_si1 pass BTS_Tests.TC_pcu_ver_si3 pass BTS_Tests.TC_pcu_ver_si13 pass BTS_Tests.TC_pcu_data_req_pdtch pass BTS_Tests.TC_pcu_data_req_ptcch pass BTS_Tests.TC_pcu_data_req_wrong_bts pass BTS_Tests.TC_pcu_data_req_wrong_trx pass BTS_Tests.TC_pcu_data_req_wrong_ts pass BTS_Tests.TC_pcu_data_req_ts_inactive pass BTS_Tests.TC_pcu_ptcch pass BTS_Tests.TC_pcu_data_req_agch pass BTS_Tests.TC_pcu_data_req_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_agch pass BTS_Tests.TC_pcu_rach_content pass BTS_Tests.TC_pcu_ext_rach_content pass BTS_Tests.TC_pcu_data_ind_lqual_cb pass BTS_Tests.TC_pcu_paging_from_rsl pass BTS_Tests.TC_pcu_time_ind pass BTS_Tests.TC_pcu_rts_req xfail BTS_Tests.TC_pcu_oml_alert pass BTS_Tests.TC_pcu_rr_suspend pass BTS_Tests.TC_pcu_socket_connect_multi pass BTS_Tests.TC_pcu_socket_reconnect pass BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_connect_si3gprs pass BTS_Tests.TC_pcu_socket_connect_si4gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_verify_info_ind pass BTS_Tests.TC_dyn_osmo_pdch_act_deact pass BTS_Tests.TC_dyn_osmo_pdch_double_act pass BTS_Tests.TC_dyn_ipa_pdch_act_deact pass BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass BTS_Tests.TC_pcu_info_ind_fh_params pass BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass BTS_Tests.TC_pcu_socket_two_nsvc pass BTS_Tests.TC_pcu_interf_ind pass BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass BTS_Tests.TC_rll_est_ind pass BTS_Tests.TC_rll_est_req_DCCH_3 pass BTS_Tests.TC_rll_est_req_ACCH_3 pass BTS_Tests.TC_rll_rel_ind_DCCH_0 pass BTS_Tests.TC_rll_rel_ind_DCCH_3 pass BTS_Tests.TC_rll_rel_ind_ACCH_0 pass BTS_Tests.TC_rll_rel_ind_ACCH_3 pass BTS_Tests.TC_rll_rel_req pass BTS_Tests.TC_rll_unit_data_req_DCCH pass BTS_Tests.TC_rll_unit_data_req_ACCH pass BTS_Tests.TC_rll_unit_data_ind_DCCH pass BTS_Tests.TC_rll_unit_data_ind_ACCH pass BTS_Tests.TC_chan_act_a51 pass BTS_Tests.TC_chan_act_a52 pass BTS_Tests.TC_chan_act_a53 pass BTS_Tests.TC_chan_act_a54 pass BTS_Tests.TC_encr_cmd_a51 pass BTS_Tests.TC_encr_cmd_a52 pass BTS_Tests.TC_encr_cmd_a53 pass BTS_Tests.TC_encr_cmd_a54 pass BTS_Tests.TC_err_rep_wrong_mdisc pass BTS_Tests.TC_err_rep_wrong_msg_type pass BTS_Tests.TC_err_rep_wrong_sequence pass BTS_Tests.TC_lapdm_selftest pass BTS_Tests.TC_tch_sign_l2_fill_frame xfail BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd pass BTS_Tests.TC_chopped_ipa_ping pass BTS_Tests.TC_chopped_ipa_payload pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_constant pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_pf_ewma pass BTS_Tests.TC_speech_no_rtp_tchf pass BTS_Tests.TC_speech_no_rtp_tchh pass BTS_Tests.TC_speech_rtp_tchf pass BTS_Tests.TC_speech_rtp_tchh pass BTS_Tests.TC_speech_osmux_tchf pass BTS_Tests.TC_speech_osmux_tchh xfail BTS_Tests.TC_data_rtp_tchf144 pass BTS_Tests.TC_data_rtp_tchf96 pass BTS_Tests.TC_data_rtp_tchf48 pass BTS_Tests.TC_data_rtp_tchh48 pass BTS_Tests.TC_data_rtp_tchf24 pass BTS_Tests.TC_data_rtp_tchh24 pass BTS_Tests.TC_early_immediate_assignment pass BTS_Tests.TC_acch_overpower_rxqual_thresh pass BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass BTS_Tests.TC_acch_overpower_always_on_facch pass BTS_Tests.TC_acch_overpower_always_on_sacch pass BTS_Tests.TC_acch_overpower_limit pass BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass BTS_Tests_SMSCB.TC_etws_p1ro pass BTS_Tests_SMSCB.TC_etws_p1ro_end pass BTS_Tests_SMSCB.TC_etws_pcu pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass BTS_Tests_LAPDm.TC_sabm_contention pass BTS_Tests_LAPDm.TC_sabm_retransmit pass BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass BTS_Tests_LAPDm.TC_sabm_invalid_resp pass BTS_Tests_LAPDm.TC_sabm_dm pass BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass BTS_Tests_LAPDm.TC_iframe_timer_recovery pass BTS_Tests_LAPDm.TC_ns_seq_error pass BTS_Tests_LAPDm.TC_nr_seq_error pass BTS_Tests_LAPDm.TC_rec_invalid_frame pass BTS_Tests_LAPDm.TC_segm_concat_dcch pass BTS_Tests_LAPDm.TC_segm_concat_sacch pass BTS_Tests_LAPDm.TC_t200_n200 pass BTS_Tests_LAPDm.TC_rr_response_frame_loss pass BTS_Tests_LAPDm.TC_incorrect_cr pass BTS_Tests_LAPDm.TC_sabm_incorrect_c pass BTS_Tests_LAPDm.TC_normal_reestablishment pass BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass BTS_Tests_ASCI.TC_vbs_notification pass BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass BTS_Tests_ASCI.TC_vgcs_talker_fail pass BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass BTS_Tests_ASCI.TC_vgcs_listener_det Summary: pass->FAIL: 2 xfail: 5 pass: 235 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_acch_overpower_always_on_facch.merged Generated BTS_Tests.TC_acch_overpower_always_on_sacch.merged Generated BTS_Tests.TC_acch_overpower_limit.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.merged Generated BTS_Tests.TC_cbc_sdcch4_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch4_load_overload.merged Generated BTS_Tests.TC_cbc_sdcch8_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch8_load_overload.merged Generated BTS_Tests.TC_cbch_load_idle_no_cbch.merged Generated BTS_Tests.TC_chan_act_a51.merged Generated BTS_Tests.TC_chan_act_a52.merged Generated BTS_Tests.TC_chan_act_a53.merged Generated BTS_Tests.TC_chan_act_a54.merged Generated BTS_Tests.TC_chan_act_react.merged Generated BTS_Tests.TC_chan_act_stress.merged Generated BTS_Tests.TC_chan_act_wrong_nr.merged Generated BTS_Tests.TC_chan_deact_not_active.merged Generated BTS_Tests.TC_chopped_ipa_payload.merged Generated BTS_Tests.TC_chopped_ipa_ping.merged Generated BTS_Tests.TC_conn_fail_crit.merged Generated BTS_Tests.TC_data_rtp_tchf144.merged Generated BTS_Tests.TC_data_rtp_tchf24.merged Generated BTS_Tests.TC_data_rtp_tchf48.merged Generated BTS_Tests.TC_data_rtp_tchf96.merged Generated BTS_Tests.TC_data_rtp_tchh24.merged Generated BTS_Tests.TC_data_rtp_tchh48.merged Generated BTS_Tests.TC_deact_sacch.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.merged Generated BTS_Tests.TC_dyn_osmo_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_osmo_pdch_double_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.merged Generated BTS_Tests.TC_early_immediate_assignment.merged Generated BTS_Tests.TC_encr_cmd_a51.merged Generated BTS_Tests.TC_encr_cmd_a52.merged Generated BTS_Tests.TC_encr_cmd_a53.merged Generated BTS_Tests.TC_encr_cmd_a54.merged Generated BTS_Tests.TC_err_rep_wrong_mdisc.merged Generated BTS_Tests.TC_err_rep_wrong_msg_type.merged Generated BTS_Tests.TC_err_rep_wrong_sequence.merged Generated BTS_Tests.TC_est_dchan.merged Generated BTS_Tests.TC_establish_ign_first_sabm.merged Generated BTS_Tests.TC_etws_p1ro.merged Generated BTS_Tests.TC_etws_p1ro_end.merged Generated BTS_Tests.TC_etws_pcu.merged Generated BTS_Tests.TC_ho_physical_info.merged Generated BTS_Tests.TC_ho_rach.merged Generated BTS_Tests.TC_iframe_seq_and_ack.merged Generated BTS_Tests.TC_iframe_timer_recovery.merged Generated BTS_Tests.TC_incorrect_cr.merged Generated BTS_Tests.TC_ipa_crcx_ack_addr.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_sdcch_not_active.merged Generated BTS_Tests.TC_ipa_crcx_twice_not_active.merged Generated BTS_Tests.TC_ipa_dlcx_not_active.merged Generated BTS_Tests.TC_lapdm_selftest.merged Generated BTS_Tests.TC_meas_res_sign_sdcch4.merged Generated BTS_Tests.TC_meas_res_sign_sdcch8.merged Generated BTS_Tests.TC_meas_res_sign_tchf.merged Generated BTS_Tests.TC_meas_res_sign_tchh.merged Generated BTS_Tests.TC_meas_res_sign_tchh_toa256.merged Generated BTS_Tests.TC_meas_res_speech_tchf.merged Generated BTS_Tests.TC_meas_res_speech_tchf_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchf_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh.merged Generated BTS_Tests.TC_meas_res_speech_tchh_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchh_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh_toa256.merged Generated BTS_Tests.TC_ms_pwr_ctrl_constant.merged Generated BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.merged Generated BTS_Tests.TC_normal_reestablishment.merged Generated BTS_Tests.TC_normal_reestablishment_state_unacked.merged Generated BTS_Tests.TC_nr_seq_error.merged Generated BTS_Tests.TC_ns_seq_error.merged Generated BTS_Tests.TC_paging_imsi_200percent.merged Generated BTS_Tests.TC_paging_imsi_200percent_with_ps.merged Generated BTS_Tests.TC_paging_imsi_80percent.merged Generated BTS_Tests.TC_paging_tmsi_200percent.merged Generated BTS_Tests.TC_paging_tmsi_80percent.merged Generated BTS_Tests.TC_pcu_act_req.merged Generated BTS_Tests.TC_pcu_act_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_act_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_act_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_data_ind_lqual_cb.merged Generated BTS_Tests.TC_pcu_data_req_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_pch.merged Generated BTS_Tests.TC_pcu_data_req_pch.merged Generated BTS_Tests.TC_pcu_data_req_pdtch.merged Generated BTS_Tests.TC_pcu_data_req_ptcch.merged Generated BTS_Tests.TC_pcu_data_req_ts_inactive.merged Generated BTS_Tests.TC_pcu_data_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_data_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_data_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_deact_req.merged Generated BTS_Tests.TC_pcu_deact_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_ext_rach_content.merged Generated BTS_Tests.TC_pcu_info_ind_fh_params.merged Generated BTS_Tests.TC_pcu_interf_ind.merged Generated BTS_Tests.TC_pcu_oml_alert.merged Generated BTS_Tests.TC_pcu_paging_from_rsl.merged Generated BTS_Tests.TC_pcu_ptcch.merged Generated BTS_Tests.TC_pcu_rach_content.merged Generated BTS_Tests.TC_pcu_rr_suspend.merged Generated BTS_Tests.TC_pcu_rts_req.merged Generated BTS_Tests.TC_pcu_socket_connect_multi.merged Generated BTS_Tests.TC_pcu_socket_connect_si3gprs.merged Generated BTS_Tests.TC_pcu_socket_connect_si4gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv4.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv6.merged Generated BTS_Tests.TC_pcu_socket_reconnect.merged Generated BTS_Tests.TC_pcu_socket_two_nsvc.merged Generated BTS_Tests.TC_pcu_socket_verify_info_ind.merged Generated BTS_Tests.TC_pcu_time_ind.merged Generated BTS_Tests.TC_pcu_ver_si1.merged Generated BTS_Tests.TC_pcu_ver_si13.merged Generated BTS_Tests.TC_pcu_ver_si3.merged Generated BTS_Tests.TC_rach_content.merged Generated BTS_Tests.TC_rach_content_emerg.merged Generated BTS_Tests.TC_rach_count.merged Generated BTS_Tests.TC_rach_load_count.merged Generated BTS_Tests.TC_rach_load_idle_below_thresh.merged Generated BTS_Tests.TC_rach_load_idle_thresh0.merged Generated BTS_Tests.TC_rach_max_ta.merged Generated BTS_Tests.TC_rec_invalid_frame.merged Generated BTS_Tests.TC_rll_est_ind.merged Generated BTS_Tests.TC_rll_est_req_ACCH_3.merged Generated BTS_Tests.TC_rll_est_req_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_req.merged Generated BTS_Tests.TC_rll_unit_data_ind_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_ind_DCCH.merged Generated BTS_Tests.TC_rll_unit_data_req_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_req_DCCH.merged Generated BTS_Tests.TC_rr_response_frame_loss.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_ass.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_power_control.merged Generated BTS_Tests.TC_rsl_chan_initial_ms_pwr.merged Generated BTS_Tests.TC_rsl_chan_initial_ta.merged Generated BTS_Tests.TC_rsl_ie_content_error.merged Generated BTS_Tests.TC_rsl_mand_ie_error.merged Generated BTS_Tests.TC_rsl_modify_encr.merged Generated BTS_Tests.TC_rsl_ms_pwr_ctrl.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active2.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_down.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_max.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_up.merged Generated BTS_Tests.TC_rsl_protocol_error.merged Generated BTS_Tests.TC_rsl_rf_resource_ind.merged Generated BTS_Tests.TC_sabm_contention.merged Generated BTS_Tests.TC_sabm_dm.merged Generated BTS_Tests.TC_sabm_incorrect_c.merged Generated BTS_Tests.TC_sabm_invalid_resp.merged Generated BTS_Tests.TC_sabm_retransmit.merged Generated BTS_Tests.TC_sabm_retransmit_bts.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0_nopayload.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi3.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi4.merged Generated BTS_Tests.TC_sacch_chan_act.merged Generated BTS_Tests.TC_sacch_chan_act_ho_async.merged Generated BTS_Tests.TC_sacch_chan_act_ho_sync.merged Generated BTS_Tests.TC_sacch_filling.merged Generated BTS_Tests.TC_sacch_info_mod.merged Generated BTS_Tests.TC_sacch_multi.merged Generated BTS_Tests.TC_sacch_multi_chg.merged Generated BTS_Tests.TC_segm_concat_dcch.merged Generated BTS_Tests.TC_segm_concat_sacch.merged Generated BTS_Tests.TC_si_sched_1.merged Generated BTS_Tests.TC_si_sched_13.merged Generated BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.merged Generated BTS_Tests.TC_si_sched_2bis.merged Generated BTS_Tests.TC_si_sched_2quater.merged Generated BTS_Tests.TC_si_sched_2ter.merged Generated BTS_Tests.TC_si_sched_2ter_2bis.merged Generated BTS_Tests.TC_si_sched_default.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_then_null.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_schedule.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_schedule.merged Generated BTS_Tests.TC_speech_no_rtp_tchf.merged Generated BTS_Tests.TC_speech_no_rtp_tchh.merged Generated BTS_Tests.TC_speech_osmux_tchf.merged Generated BTS_Tests.TC_speech_osmux_tchh.merged Generated BTS_Tests.TC_speech_rtp_tchf.merged Generated BTS_Tests.TC_speech_rtp_tchh.merged Generated BTS_Tests.TC_t200_n200.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.merged Generated BTS_Tests.TC_tx_power_down_bcch.merged Generated BTS_Tests.TC_tx_power_ramp_adm_state_change.merged Generated BTS_Tests.TC_tx_power_start_ramp_up_bcch.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_act_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_vff.merged Generated BTS_Tests.TC_vamos_chan_act_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vhh.merged Generated BTS_Tests.TC_vbs_notification.merged Generated BTS_Tests.TC_vgcs_listener_det.merged Generated BTS_Tests.TC_vgcs_talker_est_rel.merged Generated BTS_Tests.TC_vgcs_talker_fail.merged Generated BTS_Tests.TC_vgcs_uplink_free_and_busy.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-2556-trxcon + docker kill jenkins-ttcn3-bts-test-2556-trxcon jenkins-ttcn3-bts-test-2556-trxcon + docker wait jenkins-ttcn3-bts-test-2556-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-2556-fake_trx + docker kill jenkins-ttcn3-bts-test-2556-fake_trx jenkins-ttcn3-bts-test-2556-fake_trx + docker wait jenkins-ttcn3-bts-test-2556-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-2556-bts + docker kill jenkins-ttcn3-bts-test-2556-bts jenkins-ttcn3-bts-test-2556-bts + docker wait jenkins-ttcn3-bts-test-2556-bts 137 + docker_kill_wait jenkins-ttcn3-bts-test-2556-bsc + docker kill jenkins-ttcn3-bts-test-2556-bsc jenkins-ttcn3-bts-test-2556-bsc + docker wait jenkins-ttcn3-bts-test-2556-bsc 137 + start_config_virtphy + test_config_enabled virtphy + local config=virtphy + local i + local valid=0 + [ virtphy = generic ] + [ virtphy = virtphy ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ virtphy = generic ] + [ virtphy = oml ] + [ virtphy = hopping ] + return 1 + return + start_config_oml + test_config_enabled oml + local config=oml + local i + local valid=0 + [ oml = generic ] + [ oml = virtphy ] + [ oml = oml ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ oml = generic ] + [ oml = oml ] + return 0 + cp oml/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts/ + network_replace_subnet_in_configs + set +x Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/Makefile Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bsc/osmo-bsc.gen.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts/osmo-bts.gen.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic/BTS_Tests.cfg + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 32 20 + NET=32 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-32 --ip 172.18.32.20 --ip6 fd02:db8:32::20 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.20 --ip6 fd02:db8:32::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-2556-bts -d osmocom-build/osmo-bts-master /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 d51a6a7379df715516e8c3fef6efadbecb3d5c1f486cc8c66c78f0cf36705a89 + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 32 21 + NET=32 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-32 --ip 172.18.32.21 --ip6 fd02:db8:32::21 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.21 --ip6 fd02:db8:32::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-2556-fake_trx -d osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.32.20 -r 172.18.32.22 --trx TRX1@172.18.32.20:5700/1 --trx TRX2@172.18.32.20:5700/2 --trx TRX3@172.18.32.20:5700/3 >>/data/fake_trx.out 2>&1 fc000f57c344d9e245e4889a0640d8b504cf9e4292bfe7c1f4081f415144c7ef + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 32 22 + NET=32 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-32 --ip 172.18.32.22 --ip6 fd02:db8:32::22 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.22 --ip6 fd02:db8:32::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-2556-trxcon -d osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.32.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 0d3fe7d5d33129675a4d799c75087f2f931eb15c7f3108587424c5e7968dabf6 + start_testsuite oml + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=oml + docker_network_params 32 10 + NET=32 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-32 --ip 172.18.32.10 --ip6 fd02:db8:32::10 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.10 --ip6 fd02:db8:32::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.32.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-2556-ttcn3-bts-test osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@ee16f6e3bef1: Unix server socket created successfully. MC@ee16f6e3bef1: Listening on TCP port 33725. ee16f6e3bef1 is the default MC2> spawn /osmo-ttcn3-hacks/bts/BTS_Tests ee16f6e3bef1 33725 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@ee16f6e3bef1: New HC connected from 172.18.32.10 [172.18.32.10]. ee16f6e3bef1: Linux 6.1.0-21-amd64 on x86_64. cmtc MC@ee16f6e3bef1: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@ee16f6e3bef1: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@ee16f6e3bef1: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@ee16f6e3bef1: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@ee16f6e3bef1: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@ee16f6e3bef1: Configuration file was processed on all HCs. MC@ee16f6e3bef1: Creating MTC on host 172.18.32.10. MC@ee16f6e3bef1: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_mdisc'. ------ BTS_Tests_OML.TC_wrong_mdisc ------ Sun Oct 20 06:20:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_mdisc.pcap" >/data/BTS_Tests_OML.TC_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_mdisc' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_wrong_mdisc started. TC_wrong_mdisc-OML-IPA(3)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_wrong_mdisc-OML-IPA(3)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_mdisc-OML-IPA(3)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_mdisc-OML-IPA(3)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_mdisc-OML-IPA(3)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_mdisc-OML-IPA(3)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_mdisc-OML-IPA(3)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_wrong_mdisc-OML-IPA(3): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_wrong_mdisc finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_mdisc pass'. Sun Oct 20 06:20:26 UTC 2024 ====== BTS_Tests_OML.TC_wrong_mdisc pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=208595) Waiting for packet dumper to finish... 1 (prev_count=208595, count=209184) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_msgtype'. ------ BTS_Tests_OML.TC_wrong_msgtype ------ Sun Oct 20 06:20:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_msgtype.pcap" >/data/BTS_Tests_OML.TC_wrong_msgtype.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_msgtype' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_wrong_msgtype started. TC_wrong_msgtype-OML-IPA(4)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_wrong_msgtype-OML-IPA(4)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_msgtype-OML-IPA(4)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_msgtype-OML-IPA(4)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_msgtype-OML-IPA(4)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_msgtype-OML-IPA(4)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_msgtype-OML-IPA(4)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_wrong_msgtype-OML-IPA(4): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_wrong_msgtype finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_msgtype pass'. Sun Oct 20 06:20:31 UTC 2024 ====== BTS_Tests_OML.TC_wrong_msgtype pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_wrong_msgtype.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=323956) Waiting for packet dumper to finish... 1 (prev_count=323956, count=324453) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_msgtype pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_short_length'. ------ BTS_Tests_OML.TC_short_length ------ Sun Oct 20 06:20:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_short_length.pcap" >/data/BTS_Tests_OML.TC_short_length.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_short_length' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_short_length started. TC_short_length-OML-IPA(5)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_short_length-OML-IPA(5)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_short_length-OML-IPA(5)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_short_length-OML-IPA(5)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_short_length-OML-IPA(5)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_short_length-OML-IPA(5)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_short_length-OML-IPA(5)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_short_length-OML-IPA(5): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_short_length finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_short_length pass'. Sun Oct 20 06:20:36 UTC 2024 ====== BTS_Tests_OML.TC_short_length pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_short_length.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=324413) Waiting for packet dumper to finish... 1 (prev_count=324413, count=324910) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_short_length pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_long_length'. ------ BTS_Tests_OML.TC_long_length ------ Sun Oct 20 06:20:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_long_length.pcap" >/data/BTS_Tests_OML.TC_long_length.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_long_length' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_long_length started. TC_long_length-OML-IPA(6)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_long_length-OML-IPA(6)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_long_length-OML-IPA(6)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_long_length-OML-IPA(6)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_long_length-OML-IPA(6)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_long_length-OML-IPA(6)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_long_length-OML-IPA(6)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_long_length-OML-IPA(6): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_long_length finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_long_length pass'. Sun Oct 20 06:20:41 UTC 2024 ====== BTS_Tests_OML.TC_long_length pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_long_length.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=322193) Waiting for packet dumper to finish... 1 (prev_count=322193, count=322690) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_long_length pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_placement'. ------ BTS_Tests_OML.TC_wrong_placement ------ Sun Oct 20 06:20:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_placement.pcap" >/data/BTS_Tests_OML.TC_wrong_placement.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_placement' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_wrong_placement started. TC_wrong_placement-OML-IPA(7)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_wrong_placement-OML-IPA(7)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_placement-OML-IPA(7)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_placement-OML-IPA(7)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_placement-OML-IPA(7)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_placement-OML-IPA(7)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass, component reason not changed MTC@ee16f6e3bef1: setverdict(pass): pass -> pass, component reason not changed MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_placement-OML-IPA(7)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_wrong_placement-OML-IPA(7): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_wrong_placement finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_placement pass'. Sun Oct 20 06:20:46 UTC 2024 ====== BTS_Tests_OML.TC_wrong_placement pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_wrong_placement.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=327430) Waiting for packet dumper to finish... 1 (prev_count=327430, count=327927) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_placement pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_seq'. ------ BTS_Tests_OML.TC_wrong_seq ------ Sun Oct 20 06:20:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_seq.pcap" >/data/BTS_Tests_OML.TC_wrong_seq.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_seq' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_wrong_seq started. TC_wrong_seq-OML-IPA(8)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_wrong_seq-OML-IPA(8)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_seq-OML-IPA(8)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_seq-OML-IPA(8)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_seq-OML-IPA(8)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_seq-OML-IPA(8)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_seq-OML-IPA(8)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_wrong_seq-OML-IPA(8): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_wrong_seq finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_seq pass'. Sun Oct 20 06:20:51 UTC 2024 ====== BTS_Tests_OML.TC_wrong_seq pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_wrong_seq.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=322088) Waiting for packet dumper to finish... 1 (prev_count=322088, count=322585) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_seq pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_obj_class'. ------ BTS_Tests_OML.TC_wrong_obj_class ------ Sun Oct 20 06:20:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_obj_class.pcap" >/data/BTS_Tests_OML.TC_wrong_obj_class.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_obj_class' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_wrong_obj_class started. TC_wrong_obj_class-OML-IPA(9)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_wrong_obj_class-OML-IPA(9)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_obj_class-OML-IPA(9)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_obj_class-OML-IPA(9)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_obj_class-OML-IPA(9)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_obj_class-OML-IPA(9)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_obj_class-OML-IPA(9)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_wrong_obj_class-OML-IPA(9): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_wrong_obj_class finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_obj_class pass'. Sun Oct 20 06:20:56 UTC 2024 ====== BTS_Tests_OML.TC_wrong_obj_class pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_wrong_obj_class.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=324679) Waiting for packet dumper to finish... 1 (prev_count=324679, count=325176) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_obj_class pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_bts_nr'. ------ BTS_Tests_OML.TC_wrong_bts_nr ------ Sun Oct 20 06:21:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_bts_nr.pcap" >/data/BTS_Tests_OML.TC_wrong_bts_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_bts_nr' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_wrong_bts_nr started. TC_wrong_bts_nr-OML-IPA(10)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_wrong_bts_nr-OML-IPA(10)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_bts_nr-OML-IPA(10)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_bts_nr-OML-IPA(10)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_bts_nr-OML-IPA(10)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_bts_nr-OML-IPA(10)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_bts_nr-OML-IPA(10)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_wrong_bts_nr-OML-IPA(10): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_wrong_bts_nr finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_bts_nr pass'. Sun Oct 20 06:21:01 UTC 2024 ====== BTS_Tests_OML.TC_wrong_bts_nr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_wrong_bts_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=322642) Waiting for packet dumper to finish... 1 (prev_count=322642, count=323139) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_bts_nr pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_trx_nr'. ------ BTS_Tests_OML.TC_wrong_trx_nr ------ Sun Oct 20 06:21:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_trx_nr.pcap" >/data/BTS_Tests_OML.TC_wrong_trx_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_trx_nr' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_wrong_trx_nr started. TC_wrong_trx_nr-OML-IPA(11)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_wrong_trx_nr-OML-IPA(11)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_trx_nr-OML-IPA(11)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_trx_nr-OML-IPA(11)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_trx_nr-OML-IPA(11)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_trx_nr-OML-IPA(11)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_trx_nr-OML-IPA(11)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_wrong_trx_nr-OML-IPA(11): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_wrong_trx_nr finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_trx_nr pass'. Sun Oct 20 06:21:07 UTC 2024 ====== BTS_Tests_OML.TC_wrong_trx_nr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_wrong_trx_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=324329) Waiting for packet dumper to finish... 1 (prev_count=324329, count=324826) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_trx_nr pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr'. ------ BTS_Tests_OML.TC_radio_carrier_opstart_noattr ------ Sun Oct 20 06:21:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_radio_carrier_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_radio_carrier_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_radio_carrier_opstart_noattr started. TC_radio_carrier_opstart_noattr-OML-IPA(12)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_radio_carrier_opstart_noattr-OML-IPA(12)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_radio_carrier_opstart_noattr-OML-IPA(12)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_radio_carrier_opstart_noattr-OML-IPA(12)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_radio_carrier_opstart_noattr-OML-IPA(12): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_radio_carrier_opstart_noattr finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass'. Sun Oct 20 06:21:12 UTC 2024 ====== BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_radio_carrier_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=324727) Waiting for packet dumper to finish... 1 (prev_count=324727, count=325224) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart'. ------ BTS_Tests_OML.TC_bts_opstart ------ Sun Oct 20 06:21:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_bts_opstart.pcap" >/data/BTS_Tests_OML.TC_bts_opstart.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_bts_opstart started. TC_bts_opstart-OML-IPA(13)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_bts_opstart-OML-IPA(13)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_bts_opstart-OML-IPA(13)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_bts_opstart-OML-IPA(13)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_bts_opstart-OML-IPA(13)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_bts_opstart-OML-IPA(13)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass, component reason not changed MTC@ee16f6e3bef1: setverdict(pass): pass -> pass, component reason not changed MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_bts_opstart-OML-IPA(13)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_bts_opstart-OML-IPA(13): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_bts_opstart finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart pass'. Sun Oct 20 06:21:17 UTC 2024 ====== BTS_Tests_OML.TC_bts_opstart pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_bts_opstart.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=354814) Waiting for packet dumper to finish... 1 (prev_count=354814, count=355311) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart_noattr'. ------ BTS_Tests_OML.TC_bts_opstart_noattr ------ Sun Oct 20 06:21:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_bts_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_bts_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart_noattr' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_bts_opstart_noattr started. TC_bts_opstart_noattr-OML-IPA(14)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_bts_opstart_noattr-OML-IPA(14)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_bts_opstart_noattr-OML-IPA(14)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_bts_opstart_noattr-OML-IPA(14)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_bts_opstart_noattr-OML-IPA(14)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_bts_opstart_noattr-OML-IPA(14)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_bts_opstart_noattr-OML-IPA(14)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_bts_opstart_noattr-OML-IPA(14): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_bts_opstart_noattr finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart_noattr pass'. Sun Oct 20 06:21:22 UTC 2024 ====== BTS_Tests_OML.TC_bts_opstart_noattr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_bts_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=323981) Waiting for packet dumper to finish... 1 (prev_count=323981, count=324478) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart_noattr pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart'. ------ BTS_Tests_OML.TC_ts_opstart ------ Sun Oct 20 06:21:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ts_opstart.pcap" >/data/BTS_Tests_OML.TC_ts_opstart.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_ts_opstart started. TC_ts_opstart-OML-IPA(15)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_ts_opstart-OML-IPA(15)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ts_opstart-OML-IPA(15)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ts_opstart-OML-IPA(15)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ts_opstart-OML-IPA(15)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ts_opstart-OML-IPA(15)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass, component reason not changed MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ts_opstart-OML-IPA(15)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_ts_opstart-OML-IPA(15): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_ts_opstart finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart pass'. Sun Oct 20 06:21:27 UTC 2024 ====== BTS_Tests_OML.TC_ts_opstart pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_ts_opstart.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=333182) Waiting for packet dumper to finish... 1 (prev_count=333182, count=333679) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart_noattr'. ------ BTS_Tests_OML.TC_ts_opstart_noattr ------ Sun Oct 20 06:21:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ts_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_ts_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart_noattr' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_ts_opstart_noattr started. TC_ts_opstart_noattr-OML-IPA(16)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_ts_opstart_noattr-OML-IPA(16)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ts_opstart_noattr-OML-IPA(16)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ts_opstart_noattr-OML-IPA(16)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ts_opstart_noattr-OML-IPA(16)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ts_opstart_noattr-OML-IPA(16)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ts_opstart_noattr-OML-IPA(16)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_ts_opstart_noattr-OML-IPA(16): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_ts_opstart_noattr finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart_noattr pass'. Sun Oct 20 06:21:32 UTC 2024 ====== BTS_Tests_OML.TC_ts_opstart_noattr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_ts_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=323363) Waiting for packet dumper to finish... 1 (prev_count=323363, count=323860) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart_noattr pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_initial_state_reports'. ------ BTS_Tests_OML.TC_initial_state_reports ------ Sun Oct 20 06:21:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_initial_state_reports.pcap" >/data/BTS_Tests_OML.TC_initial_state_reports.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_initial_state_reports' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_initial_state_reports started. TC_initial_state_reports-OML-IPA(17)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_initial_state_reports-OML-IPA(17)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_initial_state_reports-OML-IPA(17)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_initial_state_reports-OML-IPA(17)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_initial_state_reports-OML-IPA(17)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: Ignoring { ev_type := ASP_IPA_EVENT_ID_RESP (2), conn_id := 2, id_resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } TC_initial_state_reports-OML-IPA(17)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: Ignoring { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", new component reason: ""BTS_Tests_OML.ttcn:158 : "" MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_initial_state_reports-OML-IPA(17)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass reason: ""BTS_Tests_OML.ttcn:158 : "" MTC@ee16f6e3bef1: Local verdict of PTC TC_initial_state_reports-OML-IPA(17): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_initial_state_reports finished. Verdict: pass reason: "BTS_Tests_OML.ttcn:158 : " MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_initial_state_reports pass'. Sun Oct 20 06:21:37 UTC 2024 ====== BTS_Tests_OML.TC_initial_state_reports pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_initial_state_reports.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=297580) Waiting for packet dumper to finish... 1 (prev_count=297580, count=298077) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_initial_state_reports pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd'. ------ BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd ------ Sun Oct 20 06:21:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.pcap" >/data/BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_ipa_osmo_pcu_anr_fwd started. TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: Ignoring { ev_type := ASP_IPA_EVENT_ID_RESP (2), conn_id := 2, id_resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: Ignoring { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: BTS Features:'01101110111111111111111111110000'B MTC@ee16f6e3bef1: setverdict(pass): pass -> pass, component reason not changed MTC@ee16f6e3bef1: setverdict(pass): pass -> pass, component reason not changed MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_ipa_osmo_pcu_anr_fwd finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass'. Sun Oct 20 06:21:42 UTC 2024 ====== BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=329243) Waiting for packet dumper to finish... 1 (prev_count=329243, count=329740) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack'. ------ BTS_Tests_OML.TC_ipa_rsl_connect_nack ------ Sun Oct 20 06:21:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ipa_rsl_connect_nack.pcap" >/data/BTS_Tests_OML.TC_ipa_rsl_connect_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack' was executed successfully (exit status: 0). MTC@ee16f6e3bef1: Test case TC_ipa_rsl_connect_nack started. TC_ipa_rsl_connect_nack-OML-IPA(19)@ee16f6e3bef1: Established a new IPA connection (conn_id=2) TC_ipa_rsl_connect_nack-OML-IPA(19)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_rsl_connect_nack-OML-IPA(19)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_rsl_connect_nack-OML-IPA(19)@ee16f6e3bef1: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_rsl_connect_nack-OML-IPA(19)@ee16f6e3bef1: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_rsl_connect_nack-OML-IPA(19)@ee16f6e3bef1: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ee16f6e3bef1: setverdict(pass): none -> pass MTC@ee16f6e3bef1: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ee16f6e3bef1: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ipa_rsl_connect_nack-OML-IPA(19)@ee16f6e3bef1: Final verdict of PTC: none MTC@ee16f6e3bef1: Setting final verdict of the test case. MTC@ee16f6e3bef1: Local verdict of MTC: pass MTC@ee16f6e3bef1: Local verdict of PTC TC_ipa_rsl_connect_nack-OML-IPA(19): none (pass -> pass) MTC@ee16f6e3bef1: Test case TC_ipa_rsl_connect_nack finished. Verdict: pass MTC@ee16f6e3bef1: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack pass'. Sun Oct 20 06:21:47 UTC 2024 ====== BTS_Tests_OML.TC_ipa_rsl_connect_nack pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_OML.TC_ipa_rsl_connect_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=326263) Waiting for packet dumper to finish... 1 (prev_count=326263, count=326760) MTC@ee16f6e3bef1: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack pass' was executed successfully (exit status: 0). MC@ee16f6e3bef1: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@ee16f6e3bef1: Terminating MTC. MC@ee16f6e3bef1: MTC terminated. MC2> exit MC@ee16f6e3bef1: Shutting down session. MC@ee16f6e3bef1: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-oml-21.log' -------------------- NEW: PASS BTS_Tests_OML.TC_wrong_mdisc NEW: PASS BTS_Tests_OML.TC_wrong_msgtype NEW: PASS BTS_Tests_OML.TC_short_length NEW: PASS BTS_Tests_OML.TC_long_length NEW: PASS BTS_Tests_OML.TC_wrong_placement NEW: PASS BTS_Tests_OML.TC_wrong_seq NEW: PASS BTS_Tests_OML.TC_wrong_obj_class NEW: PASS BTS_Tests_OML.TC_wrong_bts_nr NEW: PASS BTS_Tests_OML.TC_wrong_trx_nr NEW: PASS BTS_Tests_OML.TC_radio_carrier_opstart_noattr NEW: PASS BTS_Tests_OML.TC_bts_opstart NEW: PASS BTS_Tests_OML.TC_bts_opstart_noattr NEW: PASS BTS_Tests_OML.TC_ts_opstart NEW: PASS BTS_Tests_OML.TC_ts_opstart_noattr NEW: PASS BTS_Tests_OML.TC_initial_state_reports NEW: PASS BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd NEW: PASS BTS_Tests_OML.TC_ipa_rsl_connect_nack Summary: NEW: PASS: 17 skip: 242 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_bts_opstart.merged Generated BTS_Tests.TC_bts_opstart_noattr.merged Generated BTS_Tests.TC_initial_state_reports.merged Generated BTS_Tests.TC_ipa_osmo_pcu_anr_fwd.merged Generated BTS_Tests.TC_ipa_rsl_connect_nack.merged Generated BTS_Tests.TC_long_length.merged Generated BTS_Tests.TC_radio_carrier_opstart_noattr.merged Generated BTS_Tests.TC_short_length.merged Generated BTS_Tests.TC_ts_opstart.merged Generated BTS_Tests.TC_ts_opstart_noattr.merged Generated BTS_Tests.TC_wrong_bts_nr.merged Generated BTS_Tests.TC_wrong_mdisc.merged Generated BTS_Tests.TC_wrong_msgtype.merged Generated BTS_Tests.TC_wrong_obj_class.merged Generated BTS_Tests.TC_wrong_placement.merged Generated BTS_Tests.TC_wrong_seq.merged Generated BTS_Tests.TC_wrong_trx_nr.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-2556-trxcon + docker kill jenkins-ttcn3-bts-test-2556-trxcon jenkins-ttcn3-bts-test-2556-trxcon + docker wait jenkins-ttcn3-bts-test-2556-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-2556-fake_trx + docker kill jenkins-ttcn3-bts-test-2556-fake_trx jenkins-ttcn3-bts-test-2556-fake_trx + docker wait jenkins-ttcn3-bts-test-2556-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-2556-bts + docker kill jenkins-ttcn3-bts-test-2556-bts jenkins-ttcn3-bts-test-2556-bts + docker wait jenkins-ttcn3-bts-test-2556-bts 137 + start_config_hopping + test_config_enabled hopping + local config=hopping + local i + local valid=0 + [ hopping = generic ] + [ hopping = virtphy ] + [ hopping = oml ] + [ hopping = hopping ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ hopping = generic ] + [ hopping = oml ] + [ hopping = hopping ] + return 0 + cp fh/osmo-bsc.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bsc/ + cp generic/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts/ + network_replace_subnet_in_configs + set +x Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/Makefile Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bsc/osmo-bsc.gen.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts/osmo-bts.gen.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=32 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic/BTS_Tests.cfg + start_bsc + echo Starting container with BSC Starting container with BSC + docker_network_params 32 11 + NET=32 + ADDR_SUFIX=11 + echo --network ttcn3-bts-test-32 --ip 172.18.32.11 --ip6 fd02:db8:32::11 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.11 --ip6 fd02:db8:32::11 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bsc:/data --name jenkins-ttcn3-bts-test-2556-bsc -d osmocom-build/osmo-bsc-master /bin/sh -c osmo-bsc -c /data/osmo-bsc.gen.cfg >>/data/osmo-bsc.log 2>&1 d35e21be10d32074f6ae48cef8425b7b377a65187dbb5734e865c6f18074a205 + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 32 20 + NET=32 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-32 --ip 172.18.32.20 --ip6 fd02:db8:32::20 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.20 --ip6 fd02:db8:32::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-2556-bts -d osmocom-build/osmo-bts-master /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 d2bb65fa31d25497973577e4b4fcf61d5408e2423d699f9969e8cd09f8c0264b + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 32 21 + NET=32 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-32 --ip 172.18.32.21 --ip6 fd02:db8:32::21 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.21 --ip6 fd02:db8:32::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-2556-fake_trx -d osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.32.20 -r 172.18.32.22 --trx TRX1@172.18.32.20:5700/1 --trx TRX2@172.18.32.20:5700/2 --trx TRX3@172.18.32.20:5700/3 >>/data/fake_trx.out 2>&1 5afd7253b1196cbe609be1525736b465d0225128ed99193bcb1620a50231002c + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 32 22 + NET=32 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-32 --ip 172.18.32.22 --ip6 fd02:db8:32::22 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.22 --ip6 fd02:db8:32::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-2556-trxcon -d osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.32.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 41274404ebdaef18c1c7a85fa524fdb52572a3d73b0e70aafdc89841b1add1e9 + start_testsuite hopping + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=hopping + docker_network_params 32 10 + NET=32 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-32 --ip 172.18.32.10 --ip6 fd02:db8:32::10 + docker run --rm --network ttcn3-bts-test-32 --ip 172.18.32.10 --ip6 fd02:db8:32::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.32.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-2556-ttcn3-bts-test osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@535fab38cf48: Unix server socket created successfully. MC@535fab38cf48: Listening on TCP port 38259. MC2> 535fab38cf48 is the default spawn /osmo-ttcn3-hacks/bts/BTS_Tests 535fab38cf48 38259 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@535fab38cf48: New HC connected from 172.18.32.10 [172.18.32.10]. 535fab38cf48: Linux 6.1.0-21-amd64 on x86_64. cmtc MC@535fab38cf48: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@535fab38cf48: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@535fab38cf48: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@535fab38cf48: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@535fab38cf48: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@535fab38cf48: Configuration file was processed on all HCs. MC@535fab38cf48: Creating MTC on host 172.18.32.10. MC@535fab38cf48: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan'. ------ BTS_Tests.TC_est_dchan ------ Sun Oct 20 06:21:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_est_dchan.pcap" >/data/BTS_Tests.TC_est_dchan.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_est_dchan started. TC_est_dchan-RSL-IPA(3)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL-IPA(3)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL-IPA(3)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_est_dchan-RSL-IPA(3)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL(4)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL(4)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL(4)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_est_dchan-RSL(4)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_est_dchan-RSL-IPA(3)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_est_dchan-RSL-IPA(3)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL(4)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_est_dchan-RSL-IPA(3)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL(4)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL(4)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_est_dchan-RSL(4)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL(4)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan(6)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(6)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 0, t3 := 32, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(6)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(6)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(7)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 0, t3 := 4, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(7)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(7)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 0, t3 := 14, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(8)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(8)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(9)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 0, t3 := 4, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(9)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(9)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 0, t3 := 14, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(10)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(10)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_est_dchan(11)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 2 } }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 0, t3 := 22, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11000000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(11)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(11)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(12)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 3, hsn := 3 } }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 0, t3 := 45, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(12)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(12)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(13)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(13)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 0, t3 := 14, t2 := 17 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(13)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(13)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 1, t3 := 22, t2 := 21 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(14)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(14)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 1, t3 := 45, t2 := 14 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(15)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(15)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(16)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 5 } }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 1, t3 := 32, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(16)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(16)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(17)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 5 } }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 1, t3 := 32, t2 := 19 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(17)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(17)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(18)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(18)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 1, t3 := 4, t2 := 14 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(18)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(18)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(19)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 1, t3 := 45, t2 := 3 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(19)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(19)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(20)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(20)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 1, t3 := 4, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(20)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(20)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(21)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(21)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 1, t3 := 4, t2 := 9 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(21)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(21)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(22)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(22)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 1, t3 := 4, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(22)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(22)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(23)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 1, t3 := 45, t2 := 22 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(23)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(23)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(24)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(24)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 2, t3 := 4, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(24)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(24)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(25)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(25)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11101100'B, t1p := 2, t3 := 4, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(25)@535fab38cf48: Received IMM.ASS for our RACH! TC_est_dchan(25)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8950 TC_est_dchan-RSL(4)@535fab38cf48: Final verdict of PTC: none TC_est_dchan-RSL-IPA(3)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(5)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_est_dchan-RSL-IPA(3): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_est_dchan-RSL(4): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(5): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(6): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(7): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(8): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(9): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(10): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(11): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(12): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(13): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(14): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(15): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(16): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(17): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(18): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(19): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(20): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(21): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(22): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(23): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(24): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_est_dchan(25): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Test case TC_est_dchan finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass'. Sun Oct 20 06:22:12 UTC 2024 ====== BTS_Tests.TC_est_dchan pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_est_dchan.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13548911) Waiting for packet dumper to finish... 1 (prev_count=13548911, count=13554521) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress'. ------ BTS_Tests.TC_chan_act_stress ------ Sun Oct 20 06:22:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_stress.pcap" >/data/BTS_Tests.TC_chan_act_stress.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_chan_act_stress started. TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL(27)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL(27)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1031 TC_chan_act_stress-RSL(27)@535fab38cf48: Final verdict of PTC: none TC_chan_act_stress-RSL-IPA(26)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(28)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_chan_act_stress-RSL-IPA(26): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_stress-RSL(27): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(28): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_stress(29): pass (none -> pass) MTC@535fab38cf48: Test case TC_chan_act_stress finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass'. Sun Oct 20 06:22:19 UTC 2024 ====== BTS_Tests.TC_chan_act_stress pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_stress.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9303232) Waiting for packet dumper to finish... 1 (prev_count=9303232, count=9303729) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react'. ------ BTS_Tests.TC_chan_act_react ------ Sun Oct 20 06:22:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_react.pcap" >/data/BTS_Tests.TC_chan_act_react.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_chan_act_react started. TC_chan_act_react-RSL-IPA(30)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_react-RSL-IPA(30)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL(31)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_chan_act_react-RSL-IPA(30)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_react-RSL-IPA(30)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_react-RSL-IPA(30)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_react-RSL-IPA(30)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL(31)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_chan_act_react-RSL-IPA(30)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_chan_act_react-RSL(31)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react(33)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_react(33)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1055 TC_chan_act_react-RSL(31)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(32)@535fab38cf48: Final verdict of PTC: none TC_chan_act_react-RSL-IPA(30)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_chan_act_react-RSL-IPA(30): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_react-RSL(31): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(32): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_react(33): pass (none -> pass) MTC@535fab38cf48: Test case TC_chan_act_react finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass'. Sun Oct 20 06:22:25 UTC 2024 ====== BTS_Tests.TC_chan_act_react pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_react.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1953629) Waiting for packet dumper to finish... 1 (prev_count=1953629, count=1954126) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active'. ------ BTS_Tests.TC_chan_deact_not_active ------ Sun Oct 20 06:22:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_deact_not_active.pcap" >/data/BTS_Tests.TC_chan_deact_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_chan_deact_not_active started. TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_chan_deact_not_active-RSL(35)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL(35)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL(35)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL(35)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL(35)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active(37)@535fab38cf48: setverdict(pass): none -> pass TC_chan_deact_not_active(37)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1077 TC_chan_deact_not_active-RSL(35)@535fab38cf48: Final verdict of PTC: none TC_chan_deact_not_active-RSL-IPA(34)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(36)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_chan_deact_not_active-RSL-IPA(34): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_deact_not_active-RSL(35): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(36): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_deact_not_active(37): pass (none -> pass) MTC@535fab38cf48: Test case TC_chan_deact_not_active finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass'. Sun Oct 20 06:22:30 UTC 2024 ====== BTS_Tests.TC_chan_deact_not_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_deact_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1933137) Waiting for packet dumper to finish... 1 (prev_count=1933137, count=1933634) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr'. ------ BTS_Tests.TC_chan_act_wrong_nr ------ Sun Oct 20 06:22:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_wrong_nr.pcap" >/data/BTS_Tests.TC_chan_act_wrong_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_chan_act_wrong_nr started. TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr(41)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(41)@535fab38cf48: Final verdict of PTC: pass TC_chan_act_wrong_nr(42)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(42)@535fab38cf48: Final verdict of PTC: pass TC_chan_act_wrong_nr(43)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(43)@535fab38cf48: Final verdict of PTC: pass TC_chan_act_wrong_nr(44)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(44)@535fab38cf48: Final verdict of PTC: pass TC_chan_act_wrong_nr(45)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(45)@535fab38cf48: Final verdict of PTC: pass TC_chan_act_wrong_nr(46)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(46)@535fab38cf48: Final verdict of PTC: pass TC_chan_act_wrong_nr(47)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(47)@535fab38cf48: Final verdict of PTC: pass TC_chan_act_wrong_nr(48)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(48)@535fab38cf48: Final verdict of PTC: pass TC_chan_act_wrong_nr(49)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(49)@535fab38cf48: Final verdict of PTC: pass TC_chan_act_wrong_nr(50)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(50)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } TC_chan_act_wrong_nr(51)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(51)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } TC_chan_act_wrong_nr(52)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(52)@535fab38cf48: Final verdict of PTC: pass TC_chan_act_wrong_nr(53)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_wrong_nr(53)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1129 IPA-CTRL-CLI-IPA(40)@535fab38cf48: Final verdict of PTC: none TC_chan_act_wrong_nr-RSL-IPA(38)@535fab38cf48: Final verdict of PTC: none TC_chan_act_wrong_nr-RSL(39)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr-RSL-IPA(38): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr-RSL(39): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(40): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(41): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(42): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(43): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(44): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(45): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(46): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(47): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(48): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(49): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(50): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(51): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(52): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_wrong_nr(53): pass (pass -> pass) MTC@535fab38cf48: Test case TC_chan_act_wrong_nr finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass'. Sun Oct 20 06:22:36 UTC 2024 ====== BTS_Tests.TC_chan_act_wrong_nr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_wrong_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2085589) Waiting for packet dumper to finish... 1 (prev_count=2085589, count=2090762) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch'. ------ BTS_Tests.TC_deact_sacch ------ Sun Oct 20 06:22:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_deact_sacch.pcap" >/data/BTS_Tests.TC_deact_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_deact_sacch started. TC_deact_sacch-RSL-IPA(54)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_deact_sacch-RSL(55)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_deact_sacch-RSL(55)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL(55)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL-IPA(54)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_deact_sacch-RSL(55)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_deact_sacch-RSL(55)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_deact_sacch-RSL-IPA(54)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_deact_sacch-RSL(55)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_deact_sacch(57)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(57)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_deact_sacch(58)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(58)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_deact_sacch(59)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(59)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_deact_sacch(60)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(60)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_deact_sacch(61)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(61)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_deact_sacch(62)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(62)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(63)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(63)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_deact_sacch(64)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(64)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_deact_sacch(65)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(65)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_deact_sacch(66)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(66)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(67)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(67)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(68)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(68)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(69)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(69)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(70)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(70)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(71)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(71)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(72)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(72)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(73)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(73)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(74)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(74)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(75)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(75)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(76)@535fab38cf48: setverdict(pass): none -> pass TC_deact_sacch(76)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1210 TC_deact_sacch-RSL(55)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(56)@535fab38cf48: Final verdict of PTC: none TC_deact_sacch-RSL-IPA(54)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch-RSL-IPA(54): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch-RSL(55): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(56): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(57): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(58): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(59): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(60): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(61): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(62): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(63): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(64): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(65): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(66): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(67): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(68): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(69): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(70): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(71): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(72): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(73): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(74): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(75): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_deact_sacch(76): pass (pass -> pass) MTC@535fab38cf48: Test case TC_deact_sacch finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass'. Sun Oct 20 06:24:11 UTC 2024 ====== BTS_Tests.TC_deact_sacch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_deact_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=78567470) Waiting for packet dumper to finish... 1 (prev_count=78567470, count=78573080) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling'. ------ BTS_Tests.TC_sacch_filling ------ Sun Oct 20 06:24:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_filling.pcap" >/data/BTS_Tests.TC_sacch_filling.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sacch_filling started. TC_sacch_filling-RSL-IPA(77)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL(78)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL-IPA(77)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sacch_filling-RSL(78)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sacch_filling-RSL(78)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL(78)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sacch_filling-RSL(78)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sacch_filling-RSL(78)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_filling(80)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(80)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_filling(81)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(81)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_filling(82)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(82)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_filling(83)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(83)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_filling(84)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(84)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_filling(85)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(85)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(86)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(86)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_filling(87)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(87)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_filling(88)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(88)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_filling(89)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(89)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(90)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(90)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(91)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(91)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(92)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(92)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(93)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(93)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(94)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(94)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(95)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(95)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(96)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(96)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(97)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(97)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(98)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(98)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(99)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_filling(99)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1295 TC_sacch_filling-RSL(78)@535fab38cf48: Final verdict of PTC: none TC_sacch_filling-RSL-IPA(77)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(79)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling-RSL-IPA(77): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling-RSL(78): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(79): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(80): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(81): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(82): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(83): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(84): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(85): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(86): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(87): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(88): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(89): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(90): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(91): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(92): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(93): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(94): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(95): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(96): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(97): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(98): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_filling(99): pass (pass -> pass) MTC@535fab38cf48: Test case TC_sacch_filling finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass'. Sun Oct 20 06:24:31 UTC 2024 ====== BTS_Tests.TC_sacch_filling pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_filling.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11397433) Waiting for packet dumper to finish... 1 (prev_count=11397433, count=11403043) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod'. ------ BTS_Tests.TC_sacch_info_mod ------ Sun Oct 20 06:24:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_info_mod.pcap" >/data/BTS_Tests.TC_sacch_info_mod.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sacch_info_mod started. TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sacch_info_mod-RSL(101)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_info_mod-RSL(101)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_info_mod(103)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(103)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(103)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(103)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(103)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_info_mod(104)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(104)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(104)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(104)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(104)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_info_mod(105)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(105)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(105)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(105)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(105)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_info_mod(106)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(106)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(106)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(106)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(106)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_info_mod(107)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(107)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(107)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(107)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(107)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_info_mod(108)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(108)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(108)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(108)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(108)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(109)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(109)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(109)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(109)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(109)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_info_mod(110)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(110)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(110)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(110)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(110)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_info_mod(111)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(111)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(111)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(111)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(111)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_info_mod(112)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(112)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(112)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(112)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(112)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_info_mod(112)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(113)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(113)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(113)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(113)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(113)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(114)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(114)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(114)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(114)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(114)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(115)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(115)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(115)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(115)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(115)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(116)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(116)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(116)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(116)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(116)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(117)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(117)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(117)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(117)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(117)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(118)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(118)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(118)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(118)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(118)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(119)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(119)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(119)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(119)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(119)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(120)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(120)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(120)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(120)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(120)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(121)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(121)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(121)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(121)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(121)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(122)@535fab38cf48: Activating channel, expecting standard SI5 TC_sacch_info_mod(122)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_info_mod(122)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@535fab38cf48: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(122)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@535fab38cf48: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(122)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1343 TC_sacch_info_mod-RSL(101)@535fab38cf48: Final verdict of PTC: none TC_sacch_info_mod-RSL-IPA(100)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(102)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod-RSL-IPA(100): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod-RSL(101): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(102): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(103): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(104): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(105): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(106): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(107): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(108): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(109): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(110): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(111): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(112): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(113): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(114): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(115): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(116): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(117): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(118): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(119): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(120): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(121): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_info_mod(122): pass (pass -> pass) MTC@535fab38cf48: Test case TC_sacch_info_mod finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass'. Sun Oct 20 06:25:05 UTC 2024 ====== BTS_Tests.TC_sacch_info_mod pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_info_mod.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=27170208) Waiting for packet dumper to finish... 1 (prev_count=27170208, count=27170705) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi'. ------ BTS_Tests.TC_sacch_multi ------ Sun Oct 20 06:25:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi.pcap" >/data/BTS_Tests.TC_sacch_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sacch_multi started. TC_sacch_multi-RSL-IPA(123)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sacch_multi-RSL(124)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sacch_multi-RSL(124)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sacch_multi-RSL(124)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi-RSL(124)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_sacch_multi-RSL-IPA(123)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi-RSL(124)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi(126)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(126)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi(127)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(127)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi(128)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(128)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi(129)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(129)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi(130)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(130)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_multi(131)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(131)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(132)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(132)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi(133)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(133)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi(134)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(134)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi(135)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(135)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(136)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(136)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(137)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(137)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(138)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(138)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(139)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(139)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(140)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(140)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(141)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(141)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(142)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(142)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(143)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(143)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(144)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(144)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(145)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi(145)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1386 IPA-CTRL-CLI-IPA(125)@535fab38cf48: Final verdict of PTC: none TC_sacch_multi-RSL(124)@535fab38cf48: Final verdict of PTC: none TC_sacch_multi-RSL-IPA(123)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi-RSL-IPA(123): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi-RSL(124): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(125): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(126): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(127): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(128): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(129): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(130): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(131): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(132): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(133): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(134): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(135): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(136): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(137): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(138): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(139): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(140): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(141): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(142): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(143): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(144): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi(145): pass (pass -> pass) MTC@535fab38cf48: Test case TC_sacch_multi finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass'. Sun Oct 20 06:26:36 UTC 2024 ====== BTS_Tests.TC_sacch_multi pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=75772703) Waiting for packet dumper to finish... 1 (prev_count=75772703, count=75773200) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg'. ------ BTS_Tests.TC_sacch_multi_chg ------ Sun Oct 20 06:26:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi_chg.pcap" >/data/BTS_Tests.TC_sacch_multi_chg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sacch_multi_chg started. TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sacch_multi_chg-RSL(147)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sacch_multi_chg-RSL(147)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL(147)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL(147)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi_chg-RSL(147)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi_chg(149)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(149)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi_chg(150)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi_chg(151)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(151)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi_chg(152)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(152)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi_chg(153)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(153)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_multi_chg(154)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(155)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(155)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi_chg(156)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(156)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi_chg(157)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(157)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi_chg(158)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(159)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(159)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(160)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(160)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(161)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(161)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(162)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(162)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(163)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(163)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(164)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(164)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(165)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(165)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(166)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(166)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(167)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(167)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(168)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_multi_chg(168)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1432 TC_sacch_multi_chg-RSL(147)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(148)@535fab38cf48: Final verdict of PTC: none TC_sacch_multi_chg-RSL-IPA(146)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg-RSL-IPA(146): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg-RSL(147): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(148): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(149): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(150): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(151): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(152): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(153): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(154): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(155): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(156): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(157): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(158): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(159): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(160): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(161): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(162): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(163): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(164): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(165): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(166): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(167): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_multi_chg(168): pass (pass -> pass) MTC@535fab38cf48: Test case TC_sacch_multi_chg finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass'. Sun Oct 20 06:28:16 UTC 2024 ====== BTS_Tests.TC_sacch_multi_chg pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_multi_chg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=80931431) Waiting for packet dumper to finish... 1 (prev_count=80931431, count=80931928) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act'. ------ BTS_Tests.TC_sacch_chan_act ------ Sun Oct 20 06:28:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act.pcap" >/data/BTS_Tests.TC_sacch_chan_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sacch_chan_act started. TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL(170)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act-RSL(170)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_chan_act(172)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(172)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_chan_act(173)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(173)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act(174)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(174)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_chan_act(175)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(175)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act(176)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(176)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_chan_act(177)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(177)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(178)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(178)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_chan_act(179)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(179)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_chan_act(180)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(180)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_chan_act(181)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(181)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(182)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(182)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(183)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(183)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(184)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(184)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(185)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(185)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(186)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(186)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(187)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(187)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(188)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(188)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(189)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(189)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(190)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(190)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(191)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act(191)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1478 TC_sacch_chan_act-RSL(170)@535fab38cf48: Final verdict of PTC: none TC_sacch_chan_act-RSL-IPA(169)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(171)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act-RSL-IPA(169): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act-RSL(170): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(171): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(172): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(173): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(174): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(175): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(176): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(177): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(178): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(179): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(180): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(181): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(182): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(183): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(184): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(185): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(186): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(187): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(188): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(189): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(190): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act(191): pass (pass -> pass) MTC@535fab38cf48: Test case TC_sacch_chan_act finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass'. Sun Oct 20 06:28:51 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_chan_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24003210) Waiting for packet dumper to finish... 1 (prev_count=24003210, count=24003707) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async'. ------ BTS_Tests.TC_sacch_chan_act_ho_async ------ Sun Oct 20 06:28:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sacch_chan_act_ho_async started. TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: TC_sacch_chan_act_ho_async: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_async(195)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(195)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@535fab38cf48: Timeout waiting for SACCH 'EC72030FF25154DA893B7D63D60CDE5D549284'O TC_sacch_chan_act_ho_async(195)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act_ho_async: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_async(196)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(196)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@535fab38cf48: Timeout waiting for SACCH 'EC72030FF25154DA893B7D63D60CDE5D549284'O TC_sacch_chan_act_ho_async(196)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_async(197)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(197)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@535fab38cf48: Timeout waiting for SACCH 'EC72030FF25154DA893B7D63D60CDE5D549284'O TC_sacch_chan_act_ho_async(197)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_async(198)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(198)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@535fab38cf48: Timeout waiting for SACCH 'EC72030FF25154DA893B7D63D60CDE5D549284'O TC_sacch_chan_act_ho_async(198)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1557 TC_sacch_chan_act_ho_async-RSL(193)@535fab38cf48: Final verdict of PTC: none TC_sacch_chan_act_ho_async-RSL-IPA(192)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(194)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL-IPA(192): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL(193): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(194): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act_ho_async(195): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act_ho_async(196): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act_ho_async(197): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act_ho_async(198): pass (pass -> pass) MTC@535fab38cf48: Test case TC_sacch_chan_act_ho_async finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass'. Sun Oct 20 06:29:25 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_async pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_async.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=28035857) Waiting for packet dumper to finish... 1 (prev_count=28035857, count=28036354) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync'. ------ BTS_Tests.TC_sacch_chan_act_ho_sync ------ Sun Oct 20 06:29:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sacch_chan_act_ho_sync started. TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: TC_sacch_chan_act_ho_sync: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: Timeout waiting for SACCH 'EC72030FF25154DA893B7D63D60CDE5D549284'O TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act_ho_sync: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: Timeout waiting for SACCH 'EC72030FF25154DA893B7D63D60CDE5D549284'O TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: Timeout waiting for SACCH 'EC72030FF25154DA893B7D63D60CDE5D549284'O TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: Timeout waiting for SACCH 'EC72030FF25154DA893B7D63D60CDE5D549284'O TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1695 TC_sacch_chan_act_ho_sync-RSL(200)@535fab38cf48: Final verdict of PTC: none TC_sacch_chan_act_ho_sync-RSL-IPA(199)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(201)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL-IPA(199): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL(200): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(201): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act_ho_sync(202): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act_ho_sync(203): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act_ho_sync(204): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sacch_chan_act_ho_sync(205): pass (pass -> pass) MTC@535fab38cf48: Test case TC_sacch_chan_act_ho_sync finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass'. Sun Oct 20 06:30:17 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_sync pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_sync.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=44127578) Waiting for packet dumper to finish... 1 (prev_count=44127578, count=44132751) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content'. ------ BTS_Tests.TC_rach_content ------ Sun Oct 20 06:30:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content.pcap" >/data/BTS_Tests.TC_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rach_content started. TC_rach_content-RSL-IPA(206)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rach_content-RSL(207)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL-IPA(206)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content-RSL-IPA(206)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL(207)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rach_content-RSL-IPA(206)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rach_content-RSL(207)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content-RSL-IPA(206)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content-RSL-IPA(206)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL(207)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content-RSL-IPA(206)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL(207)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 27, t2 := 14 } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content-RSL(207)@535fab38cf48: Final verdict of PTC: none TC_rach_content-RSL-IPA(206)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(208)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_rach_content-RSL-IPA(206): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rach_content-RSL(207): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(208): none (pass -> pass) MTC@535fab38cf48: Test case TC_rach_content finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass'. Sun Oct 20 06:30:32 UTC 2024 ====== BTS_Tests.TC_rach_content pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11771252) Waiting for packet dumper to finish... 1 (prev_count=11771252, count=11776862) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg'. ------ BTS_Tests.TC_rach_content_emerg ------ Sun Oct 20 06:30:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content_emerg.pcap" >/data/BTS_Tests.TC_rach_content_emerg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rach_content_emerg started. TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@535fab38cf48: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content_emerg-RSL(210)@535fab38cf48: Final verdict of PTC: none TC_rach_content_emerg-RSL-IPA(209)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(211)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_rach_content_emerg-RSL-IPA(209): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rach_content_emerg-RSL(210): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(211): none (pass -> pass) MTC@535fab38cf48: Test case TC_rach_content_emerg finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass'. Sun Oct 20 06:30:46 UTC 2024 ====== BTS_Tests.TC_rach_content_emerg pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_content_emerg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11762002) Waiting for packet dumper to finish... 1 (prev_count=11762002, count=11767175) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count'. ------ BTS_Tests.TC_rach_count ------ Sun Oct 20 06:30:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_count.pcap" >/data/BTS_Tests.TC_rach_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rach_count started. TC_rach_count-RSL-IPA(212)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rach_count-RSL(213)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rach_count-RSL(213)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rach_count-RSL(213)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_count-RSL-IPA(212)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rach_count-RSL-IPA(212)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rach_count-RSL(213)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_count-RSL-IPA(212)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_count-RSL-IPA(212)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL(213)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_count-RSL-IPA(212)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rach_count-RSL-IPA(212)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rach_count-RSL(213)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 34, t2 := 17 } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1790 TC_rach_count-RSL(213)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(214)@535fab38cf48: Final verdict of PTC: none TC_rach_count-RSL-IPA(212)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_rach_count-RSL-IPA(212): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rach_count-RSL(213): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(214): none (pass -> pass) MTC@535fab38cf48: Test case TC_rach_count finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass'. Sun Oct 20 06:31:04 UTC 2024 ====== BTS_Tests.TC_rach_count pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14976847) Waiting for packet dumper to finish... 1 (prev_count=14976847, count=14977344) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta'. ------ BTS_Tests.TC_rach_max_ta ------ Sun Oct 20 06:31:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_max_ta.pcap" >/data/BTS_Tests.TC_rach_max_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rach_max_ta started. TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_max_ta-RSL(216)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@535fab38cf48: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 4, t2 := 19 } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@535fab38cf48: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 14, t2 := 14 } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1981 TC_rach_max_ta-RSL(216)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(217)@535fab38cf48: Final verdict of PTC: none TC_rach_max_ta-RSL-IPA(215)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_rach_max_ta-RSL-IPA(215): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rach_max_ta-RSL(216): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(217): none (pass -> pass) MTC@535fab38cf48: Test case TC_rach_max_ta finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass'. Sun Oct 20 06:31:20 UTC 2024 ====== BTS_Tests.TC_rach_max_ta pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_max_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10662637) Waiting for packet dumper to finish... 1 (prev_count=10662637, count=10663134) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach'. ------ BTS_Tests.TC_ho_rach ------ Sun Oct 20 06:31:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_rach.pcap" >/data/BTS_Tests.TC_ho_rach.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_ho_rach started. TC_ho_rach-RSL-IPA(218)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_ho_rach-RSL(219)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_rach-RSL-IPA(218)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_ho_rach-RSL(219)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_rach-RSL-IPA(218)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_rach-RSL-IPA(218)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_rach-RSL-IPA(218)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_ho_rach-RSL(219)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_rach-RSL(219)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_ho_rach(221)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(221)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(221)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_ho_rach(222)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(222)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(222)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ho_rach(223)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(223)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(223)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_ho_rach(224)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(224)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(224)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_rach(225)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(225)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(225)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_ho_rach(226)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(226)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(226)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(227)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(227)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(227)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_ho_rach(228)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(228)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(228)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_ho_rach(229)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(229)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(229)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_ho_rach(230)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(230)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(230)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(231)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(231)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(231)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(232)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(232)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(232)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(233)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(233)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(233)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(234)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(234)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(234)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(235)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(235)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(235)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(236)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(236)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(236)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(237)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(237)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(237)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(238)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(238)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(238)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(239)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(239)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(239)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(240)@535fab38cf48: setverdict(pass): none -> pass TC_ho_rach(240)@535fab38cf48: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(240)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":2050 TC_ho_rach-RSL(219)@535fab38cf48: Final verdict of PTC: none TC_ho_rach-RSL-IPA(218)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(220)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_ho_rach-RSL-IPA(218): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach-RSL(219): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(220): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(221): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(222): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(223): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(224): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(225): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(226): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(227): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(228): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(229): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(230): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(231): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(232): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(233): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(234): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(235): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(236): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(237): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(238): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(239): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ho_rach(240): pass (pass -> pass) MTC@535fab38cf48: Test case TC_ho_rach finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass'. Sun Oct 20 06:31:28 UTC 2024 ====== BTS_Tests.TC_ho_rach pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ho_rach.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4656506) Waiting for packet dumper to finish... 1 (prev_count=4656506, count=4657003) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info'. ------ BTS_Tests.TC_ho_physical_info ------ Sun Oct 20 06:31:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_physical_info.pcap" >/data/BTS_Tests.TC_ho_physical_info.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_ho_physical_info started. TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL(242)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_ho_physical_info-RSL(242)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 transceiver(s) connected TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_physical_info-RSL(242)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: TC_ho_physical_info: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_physical_info(244)@535fab38cf48: setverdict(pass): none -> pass TC_ho_physical_info(244)@535fab38cf48: setverdict(fail): pass -> fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }", new component reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info(244)@535fab38cf48: Sending handover Access Burst TC_ho_physical_info(244)@535fab38cf48: Rx RR Physical Information: { discriminator := '0110'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { rrm := { physicalInformation := { messageType := '00101101'B, timingAdvance := '02'O } } } } TC_ho_physical_info(244)@535fab38cf48: setverdict(fail): fail -> fail reason: "Timeout waiting for RR Physical Information", component reason not changed TC_ho_physical_info(244)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":2115 MC@535fab38cf48: Test Component 244 has requested to stop MTC. Terminating current testcase execution. TC_ho_physical_info-RSL(242)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(243)@535fab38cf48: Final verdict of PTC: none TC_ho_physical_info(244)@535fab38cf48: Final verdict of PTC: fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info-RSL-IPA(241)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_ho_physical_info-RSL-IPA(241): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ho_physical_info-RSL(242): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(243): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ho_physical_info(244): fail (none -> fail) reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" MTC@535fab38cf48: Test case TC_ho_physical_info finished. Verdict: fail reason: Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail'. Sun Oct 20 06:31:36 UTC 2024 ------ BTS_Tests.TC_ho_physical_info fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ho_physical_info.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4324994) Waiting for packet dumper to finish... 1 (prev_count=4324994, count=4330167) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0'. ------ BTS_Tests.TC_rach_load_idle_thresh0 ------ Sun Oct 20 06:31:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap" >/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rach_load_idle_thresh0 started. TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1837 TC_rach_load_idle_thresh0-RSL(246)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(247)@535fab38cf48: Final verdict of PTC: none TC_rach_load_idle_thresh0-RSL-IPA(245)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_rach_load_idle_thresh0-RSL-IPA(245): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rach_load_idle_thresh0-RSL(246): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(247): none (pass -> pass) MTC@535fab38cf48: Test case TC_rach_load_idle_thresh0 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass'. Sun Oct 20 06:31:52 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_thresh0 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_load_idle_thresh0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5526501) Waiting for packet dumper to finish... 1 (prev_count=5526501, count=5526998) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh'. ------ BTS_Tests.TC_rach_load_idle_below_thresh ------ Sun Oct 20 06:31:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap" >/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rach_load_idle_below_thresh started. TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1862 TC_rach_load_idle_below_thresh-RSL(249)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(250)@535fab38cf48: Final verdict of PTC: none TC_rach_load_idle_below_thresh-RSL-IPA(248)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL-IPA(248): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL(249): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(250): none (pass -> pass) MTC@535fab38cf48: Test case TC_rach_load_idle_below_thresh finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass'. Sun Oct 20 06:32:03 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_below_thresh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_load_idle_below_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4206494) Waiting for packet dumper to finish... 1 (prev_count=4206494, count=4206991) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count'. ------ BTS_Tests.TC_rach_load_count ------ Sun Oct 20 06:32:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_count.pcap" >/data/BTS_Tests.TC_rach_load_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rach_load_count started. TC_rach_load_count-RSL-IPA(251)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rach_load_count-RSL(252)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_count-RSL(252)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rach_load_count-RSL(252)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL(252)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@535fab38cf48: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 32, t2 := 15 } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1926 TC_rach_load_count-RSL(252)@535fab38cf48: Final verdict of PTC: none TC_rach_load_count-RSL-IPA(251)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(253)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_rach_load_count-RSL-IPA(251): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rach_load_count-RSL(252): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(253): none (pass -> pass) MTC@535fab38cf48: Test case TC_rach_load_count finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass'. Sun Oct 20 06:32:28 UTC 2024 ====== BTS_Tests.TC_rach_load_count pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rach_load_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17795562) Waiting for packet dumper to finish... 1 (prev_count=17795562, count=17796059) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf'. ------ BTS_Tests.TC_meas_res_speech_tchf ------ Sun Oct 20 06:32:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_meas_res_speech_tchf started. TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_speech_tchf(257)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf-RSL(255)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(256)@535fab38cf48: Final verdict of PTC: none TC_meas_res_speech_tchf-RSL-IPA(254)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchf-RSL-IPA(254): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchf-RSL(255): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(256): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchf(257): pass (none -> pass) MTC@535fab38cf48: Test case TC_meas_res_speech_tchf finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass'. Sun Oct 20 06:32:42 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11459395) Waiting for packet dumper to finish... 1 (prev_count=11459395, count=11465005) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch'. ------ BTS_Tests.TC_meas_res_speech_tchf_facch ------ Sun Oct 20 06:32:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_meas_res_speech_tchf_facch started. TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf_facch-RSL(259)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(260)@535fab38cf48: Final verdict of PTC: none TC_meas_res_speech_tchf_facch-RSL-IPA(258)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL-IPA(258): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL(259): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(260): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchf_facch(261): pass (none -> pass) MTC@535fab38cf48: Test case TC_meas_res_speech_tchf_facch finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass'. Sun Oct 20 06:32:55 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_facch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11503019) Waiting for packet dumper to finish... 1 (prev_count=11503019, count=11503516) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh'. ------ BTS_Tests.TC_meas_res_speech_tchh ------ Sun Oct 20 06:32:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_meas_res_speech_tchh started. TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh(265)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_speech_tchh(265)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh(265)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@535fab38cf48: Test Component 265 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh-RSL(263)@535fab38cf48: Final verdict of PTC: none TC_meas_res_speech_tchh-RSL-IPA(262)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(264)@535fab38cf48: Final verdict of PTC: none TC_meas_res_speech_tchh(265)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchh-RSL-IPA(262): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchh-RSL(263): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(264): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchh(265): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@535fab38cf48: Test case TC_meas_res_speech_tchh finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh fail'. Sun Oct 20 06:33:02 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2823543) Waiting for packet dumper to finish... 1 (prev_count=2823543, count=2828716) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch'. ------ BTS_Tests.TC_meas_res_speech_tchh_facch ------ Sun Oct 20 06:33:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_meas_res_speech_tchh_facch started. TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_facch(269)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(269)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(269)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(269)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(269)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(269)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(269)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(269)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_facch(269)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@535fab38cf48: Test Component 269 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh_facch-RSL(267)@535fab38cf48: Final verdict of PTC: none TC_meas_res_speech_tchh_facch(269)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" IPA-CTRL-CLI-IPA(268)@535fab38cf48: Final verdict of PTC: none TC_meas_res_speech_tchh_facch-RSL-IPA(266)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL-IPA(266): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL(267): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(268): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchh_facch(269): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@535fab38cf48: Test case TC_meas_res_speech_tchh_facch finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch fail'. Sun Oct 20 06:33:09 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh_facch fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2825067) Waiting for packet dumper to finish... 1 (prev_count=2825067, count=2825564) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256'. ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 ------ Sun Oct 20 06:33:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_meas_res_speech_tchh_toa256 started. TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@535fab38cf48: Test Component 273 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh_toa256-RSL(271)@535fab38cf48: Final verdict of PTC: none TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(272)@535fab38cf48: Final verdict of PTC: none TC_meas_res_speech_tchh_toa256(273)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL-IPA(270): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL(271): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(272): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchh_toa256(273): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@535fab38cf48: Test case TC_meas_res_speech_tchh_toa256 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 fail'. Sun Oct 20 06:33:15 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2829423) Waiting for packet dumper to finish... 1 (prev_count=2829423, count=2829920) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf'. ------ BTS_Tests.TC_meas_res_sign_tchf ------ Sun Oct 20 06:33:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchf.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_meas_res_sign_tchf started. TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(277)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(277)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_meas_res_sign_tchf(278)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(278)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(278)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(278)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(278)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(278)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" TC_meas_res_sign_tchf(278)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@535fab38cf48: Test Component 278 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchf-RSL(275)@535fab38cf48: Final verdict of PTC: none TC_meas_res_sign_tchf(278)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" TC_meas_res_sign_tchf-RSL-IPA(274)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(276)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_tchf-RSL-IPA(274): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_tchf-RSL(275): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(276): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_tchf(277): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_tchf(278): fail (pass -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@535fab38cf48: Test case TC_meas_res_sign_tchf finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf fail'. Sun Oct 20 06:33:30 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchf fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_sign_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12272103) Waiting for packet dumper to finish... 1 (prev_count=12272103, count=12272600) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh'. ------ BTS_Tests.TC_meas_res_sign_tchh ------ Sun Oct 20 06:33:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_meas_res_sign_tchh started. TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_tchh(282)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(282)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(282)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(282)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(282)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(282)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_sign_tchh(282)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@535fab38cf48: Test Component 282 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchh-RSL(280)@535fab38cf48: Final verdict of PTC: none TC_meas_res_sign_tchh-RSL-IPA(279)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(281)@535fab38cf48: Final verdict of PTC: none TC_meas_res_sign_tchh(282)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_tchh-RSL-IPA(279): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_tchh-RSL(280): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(281): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_tchh(282): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@535fab38cf48: Test case TC_meas_res_sign_tchh finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh fail'. Sun Oct 20 06:33:37 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchh fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_sign_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2738865) Waiting for packet dumper to finish... 1 (prev_count=2738865, count=2744038) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4'. ------ BTS_Tests.TC_meas_res_sign_sdcch4 ------ Sun Oct 20 06:33:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_meas_res_sign_sdcch4 started. TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(286)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(286)@535fab38cf48: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(287)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(287)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(287)@535fab38cf48: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(288)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(288)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(288)@535fab38cf48: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(289)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(289)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(289)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3720 TC_meas_res_sign_sdcch4-RSL(284)@535fab38cf48: Final verdict of PTC: none TC_meas_res_sign_sdcch4-RSL-IPA(283)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(285)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL-IPA(283): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL(284): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(285): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_sdcch4(286): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_sdcch4(287): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_sdcch4(288): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_sdcch4(289): pass (pass -> pass) MTC@535fab38cf48: Test case TC_meas_res_sign_sdcch4 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass'. Sun Oct 20 06:34:15 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch4 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32253251) Waiting for packet dumper to finish... 1 (prev_count=32253251, count=32253748) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8'. ------ BTS_Tests.TC_meas_res_sign_sdcch8 ------ Sun Oct 20 06:34:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_meas_res_sign_sdcch8 started. TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_sdcch8(293)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(293)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" TC_meas_res_sign_sdcch8(293)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@535fab38cf48: Test Component 293 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_sdcch8-RSL(291)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(292)@535fab38cf48: Final verdict of PTC: none TC_meas_res_sign_sdcch8-RSL-IPA(290)@535fab38cf48: Final verdict of PTC: none TC_meas_res_sign_sdcch8(293)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL-IPA(290): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL(291): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(292): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_sdcch8(293): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@535fab38cf48: Test case TC_meas_res_sign_sdcch8 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 fail'. Sun Oct 20 06:34:23 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_sdcch8 fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch8.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3772394) Waiting for packet dumper to finish... 1 (prev_count=3772394, count=3772891) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256'. ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 ------ Sun Oct 20 06:34:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_meas_res_sign_tchh_toa256 started. TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@535fab38cf48: Test Component 297 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(296)@535fab38cf48: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256-RSL(295)@535fab38cf48: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256(297)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL-IPA(294): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL(295): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(296): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_sign_tchh_toa256(297): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@535fab38cf48: Test case TC_meas_res_sign_tchh_toa256 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 fail'. Sun Oct 20 06:34:29 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_sign_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2742011) Waiting for packet dumper to finish... 1 (prev_count=2742011, count=2742508) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchf_sapi3 ------ Sun Oct 20 06:34:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_meas_res_speech_tchf_sapi3 started. TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(301)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3812 TC_meas_res_speech_tchf_sapi3-RSL(299)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(300)@535fab38cf48: Final verdict of PTC: none TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL-IPA(298): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL(299): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(300): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchf_sapi3(301): pass (none -> pass) MTC@535fab38cf48: Test case TC_meas_res_speech_tchf_sapi3 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass'. Sun Oct 20 06:34:43 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11517085) Waiting for packet dumper to finish... 1 (prev_count=11517085, count=11517582) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchh_sapi3 ------ Sun Oct 20 06:34:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_meas_res_speech_tchh_sapi3 started. TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: setverdict(pass): none -> pass TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(305)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3827 TC_meas_res_speech_tchh_sapi3-RSL(303)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(304)@535fab38cf48: Final verdict of PTC: none TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL-IPA(302): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL(303): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(304): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_meas_res_speech_tchh_sapi3(305): pass (none -> pass) MTC@535fab38cf48: Test case TC_meas_res_speech_tchh_sapi3 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass'. Sun Oct 20 06:34:57 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8716662) Waiting for packet dumper to finish... 1 (prev_count=8716662, count=8721835) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch'. ------ BTS_Tests.TC_tx_power_start_ramp_up_bcch ------ Sun Oct 20 06:35:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap" >/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_tx_power_start_ramp_up_bcch started. TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=18 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Reached full power, wating a bit more until success TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Tx power increased during ramp up: 0 -> 30 TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: setverdict(pass): none -> pass TC_tx_power_start_ramp_up_bcch(309)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":2959 TC_tx_power_start_ramp_up_bcch-RSL(307)@535fab38cf48: Final verdict of PTC: none TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(308)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL-IPA(306): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL(307): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(308): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_tx_power_start_ramp_up_bcch(309): pass (none -> pass) MTC@535fab38cf48: Test case TC_tx_power_start_ramp_up_bcch finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass'. Sun Oct 20 06:35:09 UTC 2024 ====== BTS_Tests.TC_tx_power_start_ramp_up_bcch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_tx_power_start_ramp_up_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7926527) Waiting for packet dumper to finish... 1 (prev_count=7926527, count=7927024) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch'. ------ BTS_Tests.TC_tx_power_down_bcch ------ Sun Oct 20 06:35:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_down_bcch.pcap" >/data/BTS_Tests.TC_tx_power_down_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_tx_power_down_bcch started. TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(311)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=0 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=0 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=0 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=0 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=0 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=0 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=8 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=8 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=8 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=8 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=16 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=16 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=16 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=16 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=18 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=24 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=24 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=24 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=24 TC_tx_power_down_bcch(313)@535fab38cf48: Received rx_level=30 TC_tx_power_down_bcch(313)@535fab38cf48: Reached nominal level 30, shutting down OML link TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: IPA: Closed TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Lost IPA connection! (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(310)@535fab38cf48: Final verdict of PTC: none TC_tx_power_down_bcch(313)@535fab38cf48: setverdict(pass): none -> pass TC_tx_power_down_bcch(313)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":2986 TC_tx_power_down_bcch-RSL(311)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(312)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_tx_power_down_bcch-RSL-IPA(310): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_tx_power_down_bcch-RSL(311): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(312): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_tx_power_down_bcch(313): pass (none -> pass) MTC@535fab38cf48: Test case TC_tx_power_down_bcch finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass'. Sun Oct 20 06:35:24 UTC 2024 ====== BTS_Tests.TC_tx_power_down_bcch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_tx_power_down_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7201599) Waiting for packet dumper to finish... 1 (prev_count=7201599, count=7202096) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change'. ------ BTS_Tests.TC_tx_power_ramp_adm_state_change ------ Sun Oct 20 06:35:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap" >/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_tx_power_ramp_adm_state_change started. TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=20 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Reached nominal level 30, changing ADM state to LOCKED TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: ADM STATE UNLOCKED->LOCKED TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Tx power decreased during ramp down: 30 -> 0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: setverdict(pass): none -> pass reason: "Didn't receive data_ind while in rf_locked state.", new component reason: "Didn't receive data_ind while in rf_locked state." TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: ADM STATE LOCKED->UNLOCKED TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Reached full power, wating a bit more until success TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@535fab38cf48: Test Component 317 has requested to stop MTC. Terminating current testcase execution. TC_tx_power_ramp_adm_state_change-RSL(315)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(316)@535fab38cf48: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change(317)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL-IPA(314): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL(315): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(316): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_tx_power_ramp_adm_state_change(317): fail (none -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@535fab38cf48: Test case TC_tx_power_ramp_adm_state_change finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change fail'. Sun Oct 20 06:35:50 UTC 2024 ------ BTS_Tests.TC_tx_power_ramp_adm_state_change fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_tx_power_ramp_adm_state_change.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18571309) Waiting for packet dumper to finish... 1 (prev_count=18571309, count=18571806) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass'. ------ BTS_Tests.TC_rsl_bs_pwr_static_ass ------ Sun Oct 20 06:35:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_bs_pwr_static_ass started. TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(321)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(321)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(321)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_ass(321)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(321)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(321)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3121 TC_rsl_bs_pwr_static_ass-RSL(319)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(320)@535fab38cf48: Final verdict of PTC: none TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL-IPA(318): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL(319): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(320): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_bs_pwr_static_ass(321): pass (none -> pass) MTC@535fab38cf48: Test case TC_rsl_bs_pwr_static_ass finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass'. Sun Oct 20 06:35:57 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_ass pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_ass.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2839670) Waiting for packet dumper to finish... 1 (prev_count=2839670, count=2844843) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control'. ------ BTS_Tests.TC_rsl_bs_pwr_static_power_control ------ Sun Oct 20 06:36:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_bs_pwr_static_power_control started. TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(325)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(325)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(325)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_power_control(325)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(325)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(325)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3135 TC_rsl_bs_pwr_static_power_control-RSL(323)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(324)@535fab38cf48: Final verdict of PTC: none TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL-IPA(322): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL(323): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(324): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_bs_pwr_static_power_control(325): pass (none -> pass) MTC@535fab38cf48: Test case TC_rsl_bs_pwr_static_power_control finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass'. Sun Oct 20 06:36:03 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_power_control pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_power_control.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2841672) Waiting for packet dumper to finish... 1 (prev_count=2841672, count=2842169) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl'. ------ BTS_Tests.TC_rsl_ms_pwr_ctrl ------ Sun Oct 20 06:36:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_ms_pwr_ctrl started. TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: Established a new IPA connection (conn_id=5) MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(329)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 1 } TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(330)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 0 } TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(331)@535fab38cf48: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(332)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3894 TC_rsl_ms_pwr_ctrl-RSL(327)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(328)@535fab38cf48: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL-IPA(326): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL(327): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(328): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_ctrl(329): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_ctrl(330): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_ctrl(331): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_ctrl(332): pass (pass -> pass) MTC@535fab38cf48: Test case TC_rsl_ms_pwr_ctrl finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass'. Sun Oct 20 06:37:10 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_ctrl pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_ctrl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=66311906) Waiting for packet dumper to finish... 1 (prev_count=66311906, count=66312403) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active ------ Sun Oct 20 06:37:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_ms_pwr_dyn_active started. TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(336)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3600 TC_rsl_ms_pwr_dyn_active-RSL(334)@535fab38cf48: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active-RSL-IPA(333)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(335)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL-IPA(333): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL(334): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(335): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_active(336): pass (none -> pass) MTC@535fab38cf48: Test case TC_rsl_ms_pwr_dyn_active finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass'. Sun Oct 20 06:37:31 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15064975) Waiting for packet dumper to finish... 1 (prev_count=15064975, count=15070585) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active2 ------ Sun Oct 20 06:37:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_ms_pwr_dyn_active2 started. TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(340)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3615 TC_rsl_ms_pwr_dyn_active2-RSL(338)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(339)@535fab38cf48: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL-IPA(337): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL(338): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(339): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2(340): pass (none -> pass) MTC@535fab38cf48: Test case TC_rsl_ms_pwr_dyn_active2 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass'. Sun Oct 20 06:37:47 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active2.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13986558) Waiting for packet dumper to finish... 1 (prev_count=13986558, count=13987055) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_up ------ Sun Oct 20 06:37:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_ms_pwr_dyn_up started. TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: setverdict(pass): pass -> pass reason: "Power level in L1 header reduced as expected", component reason not changed TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_up(344)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3555 TC_rsl_ms_pwr_dyn_up-RSL(342)@535fab38cf48: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_up-RSL-IPA(341)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(343)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL-IPA(341): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL(342): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(343): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_up(344): pass (none -> pass) MTC@535fab38cf48: Test case TC_rsl_ms_pwr_dyn_up finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass'. Sun Oct 20 06:38:13 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_up pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_up.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24663376) Waiting for packet dumper to finish... 1 (prev_count=24663376, count=24663873) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_down ------ Sun Oct 20 06:38:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_ms_pwr_dyn_down started. TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: setverdict(pass): pass -> pass reason: "Power level increased to lowest power value", component reason not changed TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_down(348)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3585 TC_rsl_ms_pwr_dyn_down-RSL(346)@535fab38cf48: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_down-RSL-IPA(345)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(347)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL-IPA(345): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL(346): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(347): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_down(348): pass (none -> pass) MTC@535fab38cf48: Test case TC_rsl_ms_pwr_dyn_down finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass'. Sun Oct 20 06:38:29 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_down pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_down.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13986714) Waiting for packet dumper to finish... 1 (prev_count=13986714, count=13987211) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown ------ Sun Oct 20 06:38:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_ms_pwr_dyn_ass_updown started. TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: setverdict(pass): pass -> pass reason: "Power level in L1 decreased/increased as expected", component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(352)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3540 TC_rsl_ms_pwr_dyn_ass_updown-RSL(350)@535fab38cf48: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(351)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(349): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL(350): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(351): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown(352): pass (none -> pass) MTC@535fab38cf48: Test case TC_rsl_ms_pwr_dyn_ass_updown finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass'. Sun Oct 20 06:38:41 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9624610) Waiting for packet dumper to finish... 1 (prev_count=9624610, count=9625107) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_max ------ Sun Oct 20 06:38:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_ms_pwr_dyn_max started. TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_max(356)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3570 TC_rsl_ms_pwr_dyn_max-RSL(354)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(355)@535fab38cf48: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_max-RSL-IPA(353)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL-IPA(353): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL(354): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(355): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ms_pwr_dyn_max(356): pass (none -> pass) MTC@535fab38cf48: Test case TC_rsl_ms_pwr_dyn_max finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass'. Sun Oct 20 06:38:56 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_max pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_max.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13541765) Waiting for packet dumper to finish... 1 (prev_count=13541765, count=13546938) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr'. ------ BTS_Tests.TC_rsl_chan_initial_ms_pwr ------ Sun Oct 20 06:39:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_chan_initial_ms_pwr started. TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_chan_initial_ms_pwr(360)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(360)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(360)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(360)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(360)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_chan_initial_ms_pwr(361)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(361)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(361)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(361)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(361)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_chan_initial_ms_pwr(362)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(362)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(362)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(362)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(362)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_chan_initial_ms_pwr(363)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(363)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(363)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(363)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(363)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_chan_initial_ms_pwr-RSL(358)@535fab38cf48: Final verdict of PTC: none TC_rsl_chan_initial_ms_pwr-RSL-IPA(357)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(359)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL-IPA(357): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL(358): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(359): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(360): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(361): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(362): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(363): pass (pass -> pass) MTC@535fab38cf48: Test case TC_rsl_chan_initial_ms_pwr finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass'. Sun Oct 20 06:39:04 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ms_pwr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_chan_initial_ms_pwr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3462369) Waiting for packet dumper to finish... 1 (prev_count=3462369, count=3462866) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta'. ------ BTS_Tests.TC_rsl_chan_initial_ta ------ Sun Oct 20 06:39:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_chan_initial_ta started. TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(367)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(367)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_chan_initial_ta(367)@535fab38cf48: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 16 } TC_rsl_chan_initial_ta(367)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(367)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(367)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":3939 TC_rsl_chan_initial_ta-RSL(365)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(366)@535fab38cf48: Final verdict of PTC: none TC_rsl_chan_initial_ta-RSL-IPA(364)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rsl_chan_initial_ta-RSL-IPA(364): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_chan_initial_ta-RSL(365): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(366): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_chan_initial_ta(367): pass (none -> pass) MTC@535fab38cf48: Test case TC_rsl_chan_initial_ta finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass'. Sun Oct 20 06:39:10 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ta pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_chan_initial_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2404586) Waiting for packet dumper to finish... 1 (prev_count=2404586, count=2405083) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr'. ------ BTS_Tests.TC_rsl_modify_encr ------ Sun Oct 20 06:39:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_modify_encr.pcap" >/data/BTS_Tests.TC_rsl_modify_encr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_modify_encr started. TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(369)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(369)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(369)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(369)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(369)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(369)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_modify_encr-RSL(369)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(369)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL(369)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(369)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(369)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL(369)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(369)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(369)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL(369)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(369)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rsl_modify_encr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_modify_encr(371)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_modify_encr(371)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(371)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_rsl_modify_encr(371)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rsl_modify_encr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_modify_encr(372)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_modify_encr(372)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(372)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_rsl_modify_encr(372)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(372)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(372)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(372)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(372)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_modify_encr(373)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_modify_encr(373)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_rsl_modify_encr(373)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(373)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(373)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(373)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(373)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_modify_encr(374)@535fab38cf48: setverdict(pass): none -> pass TC_rsl_modify_encr(374)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_rsl_modify_encr(374)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(374)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(374)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(374)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(374)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_modify_encr-RSL(369)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(370)@535fab38cf48: Final verdict of PTC: none TC_rsl_modify_encr-RSL-IPA(368)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rsl_modify_encr-RSL-IPA(368): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_modify_encr-RSL(369): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(370): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rsl_modify_encr(371): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_modify_encr(372): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_modify_encr(373): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_modify_encr(374): pass (pass -> pass) MTC@535fab38cf48: Test case TC_rsl_modify_encr finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass'. Sun Oct 20 06:39:28 UTC 2024 ====== BTS_Tests.TC_rsl_modify_encr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_modify_encr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13242548) Waiting for packet dumper to finish... 1 (prev_count=13242548, count=13243045) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind'. ------ BTS_Tests.TC_rsl_rf_resource_ind ------ Sun Oct 20 06:39:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap" >/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_rf_resource_ind started. TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":7811 TC_rsl_rf_resource_ind-RSL(376)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(377)@535fab38cf48: Final verdict of PTC: none TC_rsl_rf_resource_ind-RSL-IPA(375)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_rsl_rf_resource_ind-RSL-IPA(375): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_rf_resource_ind-RSL(376): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(377): none (pass -> pass) MTC@535fab38cf48: Test case TC_rsl_rf_resource_ind finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass'. Sun Oct 20 06:39:48 UTC 2024 ====== BTS_Tests.TC_rsl_rf_resource_ind pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_rf_resource_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14420835) Waiting for packet dumper to finish... 1 (prev_count=14420835, count=14421825) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit'. ------ BTS_Tests.TC_conn_fail_crit ------ Sun Oct 20 06:39:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_conn_fail_crit.pcap" >/data/BTS_Tests.TC_conn_fail_crit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_conn_fail_crit started. TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(379)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(379)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(379)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(379)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(379)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(379)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(379)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(379)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(379)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(379)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_conn_fail_crit-RSL(379)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(379)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(379)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_conn_fail_crit-RSL(379)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(379)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(379)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_conn_fail_crit(381)@535fab38cf48: setverdict(pass): none -> pass TC_conn_fail_crit(381)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(381)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(381)@535fab38cf48: Final verdict of PTC: pass TC_conn_fail_crit-RSL(379)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(380)@535fab38cf48: Final verdict of PTC: none TC_conn_fail_crit-RSL-IPA(378)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_conn_fail_crit-RSL-IPA(378): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_conn_fail_crit-RSL(379): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(380): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_conn_fail_crit(381): pass (none -> pass) MTC@535fab38cf48: Test case TC_conn_fail_crit finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass'. Sun Oct 20 06:40:18 UTC 2024 ====== BTS_Tests.TC_conn_fail_crit pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_conn_fail_crit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24678965) Waiting for packet dumper to finish... 1 (prev_count=24678965, count=24679462) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent'. ------ BTS_Tests.TC_paging_imsi_80percent ------ Sun Oct 20 06:40:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_80percent.pcap" >/data/BTS_Tests.TC_paging_imsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_paging_imsi_80percent started. TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(383)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(383)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(383)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(383)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: pch_blocks_total=271 pch_blocks_per_sec=13.594771 interval=0.073558 MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: num_paging_sent=271 rcvd_msgs=171 rcvd_ids=271 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":4329 TC_paging_imsi_80percent-RSL(383)@535fab38cf48: Final verdict of PTC: none TC_paging_imsi_80percent-RSL-IPA(382)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(384)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_paging_imsi_80percent-RSL-IPA(382): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_paging_imsi_80percent-RSL(383): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(384): none (pass -> pass) MTC@535fab38cf48: Test case TC_paging_imsi_80percent finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass'. Sun Oct 20 06:40:45 UTC 2024 ====== BTS_Tests.TC_paging_imsi_80percent pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_paging_imsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21734426) Waiting for packet dumper to finish... 1 (prev_count=21734426, count=21734923) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent'. ------ BTS_Tests.TC_paging_tmsi_80percent ------ Sun Oct 20 06:40:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_80percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_paging_tmsi_80percent started. TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: pch_blocks_total=543 pch_blocks_per_sec=27.189542 interval=0.036779 MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: num_paging_sent=543 rcvd_msgs=173 rcvd_ids=543 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":4356 TC_paging_tmsi_80percent-RSL(386)@535fab38cf48: Final verdict of PTC: none TC_paging_tmsi_80percent-RSL-IPA(385)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(387)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_paging_tmsi_80percent-RSL-IPA(385): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_paging_tmsi_80percent-RSL(386): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(387): none (pass -> pass) MTC@535fab38cf48: Test case TC_paging_tmsi_80percent finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass'. Sun Oct 20 06:41:12 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_80percent pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_paging_tmsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22108803) Waiting for packet dumper to finish... 1 (prev_count=22108803, count=22114413) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent'. ------ BTS_Tests.TC_paging_imsi_200percent ------ Sun Oct 20 06:41:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_paging_imsi_200percent started. TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent-RSL(389)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(389)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(389)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(389)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: num_paging_sent=679 rcvd_msgs=271 rcvd_ids=538 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":4385 TC_paging_imsi_200percent-RSL(389)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(390)@535fab38cf48: Final verdict of PTC: none TC_paging_imsi_200percent-RSL-IPA(388)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_paging_imsi_200percent-RSL-IPA(388): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_paging_imsi_200percent-RSL(389): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(390): none (pass -> pass) MTC@535fab38cf48: Test case TC_paging_imsi_200percent finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass'. Sun Oct 20 06:41:51 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_paging_imsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=33545179) Waiting for packet dumper to finish... 1 (prev_count=33545179, count=33546113) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent'. ------ BTS_Tests.TC_paging_tmsi_200percent ------ Sun Oct 20 06:41:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_200percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_paging_tmsi_200percent started. TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: pch_blocks_total=1359 pch_blocks_per_sec=67.973856 interval=0.014712 MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: num_paging_sent=1359 rcvd_msgs=220 rcvd_ids=877 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":4414 TC_paging_tmsi_200percent-RSL(392)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(393)@535fab38cf48: Final verdict of PTC: none TC_paging_tmsi_200percent-RSL-IPA(391)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_paging_tmsi_200percent-RSL-IPA(391): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_paging_tmsi_200percent-RSL(392): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(393): none (pass -> pass) MTC@535fab38cf48: Test case TC_paging_tmsi_200percent finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass'. Sun Oct 20 06:42:24 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_200percent pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_paging_tmsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30011774) Waiting for packet dumper to finish... 1 (prev_count=30011774, count=30012271) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error'. ------ BTS_Tests.TC_rsl_protocol_error ------ Sun Oct 20 06:42:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_protocol_error.pcap" >/data/BTS_Tests.TC_rsl_protocol_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_protocol_error started. TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(395)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(395)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(395)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(395)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(395)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_protocol_error-RSL(395)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(395)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(395)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(395)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_protocol_error-RSL(395)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_protocol_error-RSL(395)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(395)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(395)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rsl_protocol_error-RSL(395)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(395)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(395)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_rsl_protocol_error-RSL(395)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(396)@535fab38cf48: Final verdict of PTC: none TC_rsl_protocol_error-RSL-IPA(394)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_rsl_protocol_error-RSL-IPA(394): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_protocol_error-RSL(395): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(396): none (pass -> pass) MTC@535fab38cf48: Test case TC_rsl_protocol_error finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass'. Sun Oct 20 06:42:30 UTC 2024 ====== BTS_Tests.TC_rsl_protocol_error pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_protocol_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1928860) Waiting for packet dumper to finish... 1 (prev_count=1928860, count=1929357) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error'. ------ BTS_Tests.TC_rsl_mand_ie_error ------ Sun Oct 20 06:42:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_mand_ie_error.pcap" >/data/BTS_Tests.TC_rsl_mand_ie_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_mand_ie_error started. TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_rsl_mand_ie_error-RSL(398)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(399)@535fab38cf48: Final verdict of PTC: none TC_rsl_mand_ie_error-RSL-IPA(397)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_rsl_mand_ie_error-RSL-IPA(397): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_mand_ie_error-RSL(398): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(399): none (pass -> pass) MTC@535fab38cf48: Test case TC_rsl_mand_ie_error finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass'. Sun Oct 20 06:42:35 UTC 2024 ====== BTS_Tests.TC_rsl_mand_ie_error pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_mand_ie_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1929390) Waiting for packet dumper to finish... 1 (prev_count=1929390, count=1929887) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error'. ------ BTS_Tests.TC_rsl_ie_content_error ------ Sun Oct 20 06:42:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ie_content_error.pcap" >/data/BTS_Tests.TC_rsl_ie_content_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rsl_ie_content_error started. TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rsl_ie_content_error-RSL(401)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(401)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(401)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(401)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_rsl_ie_content_error-RSL(401)@535fab38cf48: Final verdict of PTC: none TC_rsl_ie_content_error-RSL-IPA(400)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(402)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_rsl_ie_content_error-RSL-IPA(400): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rsl_ie_content_error-RSL(401): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(402): none (pass -> pass) MTC@535fab38cf48: Test case TC_rsl_ie_content_error finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass'. Sun Oct 20 06:42:41 UTC 2024 ====== BTS_Tests.TC_rsl_ie_content_error pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rsl_ie_content_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1926296) Waiting for packet dumper to finish... 1 (prev_count=1926296, count=1926793) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default'. ------ BTS_Tests.TC_si_sched_default ------ Sun Oct 20 06:42:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_default.pcap" >/data/BTS_Tests.TC_si_sched_default.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_si_sched_default started. TC_si_sched_default-RSL-IPA(403)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(404)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(403)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(404)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(403)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(404)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_default-RSL-IPA(403)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(404)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL(404)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL-IPA(403)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_si_sched_default-RSL(404)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_si_sched_default-RSL(404)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_default-RSL-IPA(403)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_default-RSL-IPA(403)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(404)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(404)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(404)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_si_sched_default-RSL(404)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_default-RSL(404)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(404)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_si_sched_default-RSL-IPA(403)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(403)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(404)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_si_sched_default-RSL(404)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(404)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: "TC_si_sched_default": TC=0 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_default": TC=1 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_default": TC=2 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_default": TC=3 has #of SI=5 MTC@535fab38cf48: "TC_si_sched_default": TC=4 has #of SI=5 MTC@535fab38cf48: "TC_si_sched_default": TC=5 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_default": TC=6 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_default": TC=7 has #of SI=4 MTC@535fab38cf48: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":4941 TC_si_sched_default-RSL(404)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(405)@535fab38cf48: Final verdict of PTC: none TC_si_sched_default-RSL-IPA(403)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_si_sched_default-RSL-IPA(403): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_si_sched_default-RSL(404): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(405): none (pass -> pass) MTC@535fab38cf48: Test case TC_si_sched_default finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass'. Sun Oct 20 06:42:55 UTC 2024 ====== BTS_Tests.TC_si_sched_default pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_default.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9168031) Waiting for packet dumper to finish... 1 (prev_count=9168031, count=9168528) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1'. ------ BTS_Tests.TC_si_sched_1 ------ Sun Oct 20 06:42:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_1.pcap" >/data/BTS_Tests.TC_si_sched_1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_si_sched_1 started. TC_si_sched_1-RSL-IPA(406)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(407)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(406)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(407)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(406)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(407)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(407)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(407)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(407)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_si_sched_1-RSL(407)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(407)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(407)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(407)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_1-RSL-IPA(406)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_si_sched_1-RSL(407)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_si_sched_1-RSL(407)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(407)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_si_sched_1-RSL(407)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_si_sched_1-RSL(407)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(407)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E504002B'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: "TC_si_sched_1": TC=0 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_1": TC=1 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_1": TC=2 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_1": TC=3 has #of SI=5 MTC@535fab38cf48: "TC_si_sched_1": TC=4 has #of SI=5 MTC@535fab38cf48: "TC_si_sched_1": TC=5 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_1": TC=6 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_1": TC=7 has #of SI=4 MTC@535fab38cf48: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":4949 TC_si_sched_1-RSL(407)@535fab38cf48: Final verdict of PTC: none TC_si_sched_1-RSL-IPA(406)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(408)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_si_sched_1-RSL-IPA(406): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_si_sched_1-RSL(407): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(408): none (pass -> pass) MTC@535fab38cf48: Test case TC_si_sched_1 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass'. Sun Oct 20 06:43:08 UTC 2024 ====== BTS_Tests.TC_si_sched_1 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9182329) Waiting for packet dumper to finish... 1 (prev_count=9182329, count=9182826) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis'. ------ BTS_Tests.TC_si_sched_2bis ------ Sun Oct 20 06:43:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_si_sched_2bis started. TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(410)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(410)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(410)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(410)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_si_sched_2bis-RSL(410)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(410)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_si_sched_2bis-RSL(410)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(410)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(410)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(410)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(410)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(410)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(410)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_si_sched_2bis-RSL(410)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(410)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(410)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: "TC_si_sched_2bis": TC=0 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2bis": TC=1 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2bis": TC=2 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2bis": TC=3 has #of SI=5 MTC@535fab38cf48: "TC_si_sched_2bis": TC=4 has #of SI=5 MTC@535fab38cf48: "TC_si_sched_2bis": TC=5 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2bis": TC=6 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2bis": TC=7 has #of SI=4 MTC@535fab38cf48: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":4957 TC_si_sched_2bis-RSL(410)@535fab38cf48: Final verdict of PTC: none TC_si_sched_2bis-RSL-IPA(409)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(411)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_si_sched_2bis-RSL-IPA(409): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_si_sched_2bis-RSL(410): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(411): none (pass -> pass) MTC@535fab38cf48: Test case TC_si_sched_2bis finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass'. Sun Oct 20 06:43:22 UTC 2024 ====== BTS_Tests.TC_si_sched_2bis pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9174540) Waiting for packet dumper to finish... 1 (prev_count=9174540, count=9180150) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter'. ------ BTS_Tests.TC_si_sched_2ter ------ Sun Oct 20 06:43:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter.pcap" >/data/BTS_Tests.TC_si_sched_2ter.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_si_sched_2ter started. TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(413)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(413)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(413)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(413)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(413)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(413)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_si_sched_2ter-RSL(413)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(413)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(413)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(413)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_si_sched_2ter-RSL(413)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(413)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(413)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_si_sched_2ter-RSL(413)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(413)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(413)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: "TC_si_sched_2ter": TC=0 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2ter": TC=1 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2ter": TC=2 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2ter": TC=3 has #of SI=5 MTC@535fab38cf48: "TC_si_sched_2ter": TC=4 has #of SI=5 MTC@535fab38cf48: "TC_si_sched_2ter": TC=5 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2ter": TC=6 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2ter": TC=7 has #of SI=4 MTC@535fab38cf48: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":4965 TC_si_sched_2ter-RSL(413)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(414)@535fab38cf48: Final verdict of PTC: none TC_si_sched_2ter-RSL-IPA(412)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_si_sched_2ter-RSL-IPA(412): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_si_sched_2ter-RSL(413): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(414): none (pass -> pass) MTC@535fab38cf48: Test case TC_si_sched_2ter finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass'. Sun Oct 20 06:43:35 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_2ter.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9174460) Waiting for packet dumper to finish... 1 (prev_count=9174460, count=9174957) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis'. ------ BTS_Tests.TC_si_sched_2ter_2bis ------ Sun Oct 20 06:43:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_si_sched_2ter_2bis started. TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@535fab38cf48: "TC_si_sched_2ter_2bis": TC=0 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2ter_2bis": TC=1 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2ter_2bis": TC=2 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2ter_2bis": TC=3 has #of SI=5 MTC@535fab38cf48: "TC_si_sched_2ter_2bis": TC=4 has #of SI=5 MTC@535fab38cf48: "TC_si_sched_2ter_2bis": TC=5 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2ter_2bis": TC=6 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_2ter_2bis": TC=7 has #of SI=4 MTC@535fab38cf48: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":4975 TC_si_sched_2ter_2bis-RSL(416)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(417)@535fab38cf48: Final verdict of PTC: none TC_si_sched_2ter_2bis-RSL-IPA(415)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_si_sched_2ter_2bis-RSL-IPA(415): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_si_sched_2ter_2bis-RSL(416): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(417): none (pass -> pass) MTC@535fab38cf48: Test case TC_si_sched_2ter_2bis finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass'. Sun Oct 20 06:43:49 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter_2bis pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_2ter_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9176248) Waiting for packet dumper to finish... 1 (prev_count=9176248, count=9176745) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater'. ------ BTS_Tests.TC_si_sched_2quater ------ Sun Oct 20 06:43:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2quater.pcap" >/data/BTS_Tests.TC_si_sched_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_si_sched_2quater started. TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(419)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(419)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(419)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(419)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(419)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(419)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_si_sched_2quater-RSL(419)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2quater-RSL(419)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(419)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(419)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_si_sched_2quater-RSL(419)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(419)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(419)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(419)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL(419)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(419)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@535fab38cf48: Protocol discriminator is not RR (!= '0110'B): 0 MTC@535fab38cf48: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 665, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@535fab38cf48: Protocol discriminator is not RR (!= '0110'B): 0 MTC@535fab38cf48: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1073, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@535fab38cf48: Protocol discriminator is not RR (!= '0110'B): 0 MTC@535fab38cf48: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1481, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@535fab38cf48: Protocol discriminator is not RR (!= '0110'B): 0 MTC@535fab38cf48: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1889, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@535fab38cf48: Protocol discriminator is not RR (!= '0110'B): 0 MTC@535fab38cf48: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2297, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@535fab38cf48: Protocol discriminator is not RR (!= '0110'B): 0 MTC@535fab38cf48: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2705, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@535fab38cf48: Protocol discriminator is not RR (!= '0110'B): 0 MTC@535fab38cf48: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3113, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@535fab38cf48: Protocol discriminator is not RR (!= '0110'B): 0 MTC@535fab38cf48: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3521, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: "TC_si_sched_2quater": TC=0 has #of SI=8 MTC@535fab38cf48: "TC_si_sched_2quater": TC=1 has #of SI=8 MTC@535fab38cf48: "TC_si_sched_2quater": TC=2 has #of SI=8 MTC@535fab38cf48: "TC_si_sched_2quater": TC=3 has #of SI=9 MTC@535fab38cf48: "TC_si_sched_2quater": TC=4 has #of SI=9 MTC@535fab38cf48: "TC_si_sched_2quater": TC=5 has #of SI=1 MTC@535fab38cf48: "TC_si_sched_2quater": TC=6 has #of SI=9 MTC@535fab38cf48: "TC_si_sched_2quater": TC=7 has #of SI=8 MTC@535fab38cf48: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2654, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":4983 TC_si_sched_2quater-RSL(419)@535fab38cf48: Final verdict of PTC: none TC_si_sched_2quater-RSL-IPA(418)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(420)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_si_sched_2quater-RSL-IPA(418): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_si_sched_2quater-RSL(419): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(420): none (pass -> pass) MTC@535fab38cf48: Test case TC_si_sched_2quater finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass'. Sun Oct 20 06:44:11 UTC 2024 ====== BTS_Tests.TC_si_sched_2quater pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16399695) Waiting for packet dumper to finish... 1 (prev_count=16399695, count=16400192) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13'. ------ BTS_Tests.TC_si_sched_13 ------ Sun Oct 20 06:44:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13.pcap" >/data/BTS_Tests.TC_si_sched_13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_si_sched_13 started. TC_si_sched_13-RSL-IPA(421)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_si_sched_13-RSL(422)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(422)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(421)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(422)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(422)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(422)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(422)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_si_sched_13-RSL(422)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL(422)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(422)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(422)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_si_sched_13-RSL(422)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(422)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(422)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(421)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(422)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_si_sched_13-RSL(422)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(422)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@535fab38cf48: "TC_si_sched_13": TC=0 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_13": TC=1 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_13": TC=2 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_13": TC=3 has #of SI=5 MTC@535fab38cf48: "TC_si_sched_13": TC=4 has #of SI=5 MTC@535fab38cf48: "TC_si_sched_13": TC=5 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_13": TC=6 has #of SI=4 MTC@535fab38cf48: "TC_si_sched_13": TC=7 has #of SI=4 MTC@535fab38cf48: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@535fab38cf48: not-bccch-extended MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":4993 TC_si_sched_13-RSL(422)@535fab38cf48: Final verdict of PTC: none TC_si_sched_13-RSL-IPA(421)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(423)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_si_sched_13-RSL-IPA(421): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_si_sched_13-RSL(422): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(423): none (pass -> pass) MTC@535fab38cf48: Test case TC_si_sched_13 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass'. Sun Oct 20 06:44:24 UTC 2024 ====== BTS_Tests.TC_si_sched_13 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9186516) Waiting for packet dumper to finish... 1 (prev_count=9186516, count=9187013) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater'. ------ BTS_Tests.TC_si_sched_13_2bis_2ter_2quater ------ Sun Oct 20 06:44:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap" >/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_si_sched_13_2bis_2ter_2quater started. TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@535fab38cf48: Protocol discriminator is not RR (!= '0110'B): 0 MTC@535fab38cf48: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1430, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@535fab38cf48: Protocol discriminator is not RR (!= '0110'B): 0 MTC@535fab38cf48: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2654, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@535fab38cf48: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: "TC_si_sched_13_2bis_2ter_2quater": TC=0 has #of SI=8 MTC@535fab38cf48: "TC_si_sched_13_2bis_2ter_2quater": TC=1 has #of SI=8 MTC@535fab38cf48: "TC_si_sched_13_2bis_2ter_2quater": TC=2 has #of SI=8 MTC@535fab38cf48: "TC_si_sched_13_2bis_2ter_2quater": TC=3 has #of SI=9 MTC@535fab38cf48: "TC_si_sched_13_2bis_2ter_2quater": TC=4 has #of SI=7 MTC@535fab38cf48: "TC_si_sched_13_2bis_2ter_2quater": TC=5 has #of SI=9 MTC@535fab38cf48: "TC_si_sched_13_2bis_2ter_2quater": TC=6 has #of SI=9 MTC@535fab38cf48: "TC_si_sched_13_2bis_2ter_2quater": TC=7 has #of SI=8 MTC@535fab38cf48: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1889, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2297, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2705, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3113, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3521, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@535fab38cf48: not-bccch-extended MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":5009 TC_si_sched_13_2bis_2ter_2quater-RSL(425)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(426)@535fab38cf48: Final verdict of PTC: none TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(424): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL(425): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(426): none (pass -> pass) MTC@535fab38cf48: Test case TC_si_sched_13_2bis_2ter_2quater finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass'. Sun Oct 20 06:44:46 UTC 2024 ====== BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16421641) Waiting for packet dumper to finish... 1 (prev_count=16421641, count=16422138) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active'. ------ BTS_Tests.TC_ipa_dlcx_not_active ------ Sun Oct 20 06:44:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_ipa_dlcx_not_active started. TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active(430)@535fab38cf48: setverdict(pass): none -> pass TC_ipa_dlcx_not_active(430)@535fab38cf48: Final verdict of PTC: pass TC_ipa_dlcx_not_active-RSL(428)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(429)@535fab38cf48: Final verdict of PTC: none TC_ipa_dlcx_not_active-RSL-IPA(427)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_ipa_dlcx_not_active-RSL-IPA(427): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ipa_dlcx_not_active-RSL(428): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(429): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ipa_dlcx_not_active(430): pass (none -> pass) MTC@535fab38cf48: Test case TC_ipa_dlcx_not_active finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass'. Sun Oct 20 06:44:51 UTC 2024 ====== BTS_Tests.TC_ipa_dlcx_not_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ipa_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1930797) Waiting for packet dumper to finish... 1 (prev_count=1930797, count=1936407) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active'. ------ BTS_Tests.TC_ipa_crcx_twice_not_active ------ Sun Oct 20 06:44:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_ipa_crcx_twice_not_active started. TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active(434)@535fab38cf48: setverdict(pass): none -> pass TC_ipa_crcx_twice_not_active(434)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_twice_not_active(434)@535fab38cf48: Final verdict of PTC: pass TC_ipa_crcx_twice_not_active-RSL(432)@535fab38cf48: Final verdict of PTC: none TC_ipa_crcx_twice_not_active-RSL-IPA(431)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(433)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL-IPA(431): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL(432): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(433): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_twice_not_active(434): pass (none -> pass) MTC@535fab38cf48: Test case TC_ipa_crcx_twice_not_active finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass'. Sun Oct 20 06:44:57 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_twice_not_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ipa_crcx_twice_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1932024) Waiting for packet dumper to finish... 1 (prev_count=1932024, count=1937197) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active ------ Sun Oct 20 06:45:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_ipa_crcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active(438)@535fab38cf48: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_dlcx_not_active(438)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(438)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(438)@535fab38cf48: Final verdict of PTC: pass TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436)@535fab38cf48: Final verdict of PTC: none TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(437)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(435): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL(436): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(437): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active(438): pass (none -> pass) MTC@535fab38cf48: Test case TC_ipa_crcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass'. Sun Oct 20 06:45:03 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1948061) Waiting for packet dumper to finish... 1 (prev_count=1948061, count=1948558) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active ------ Sun Oct 20 06:45:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@535fab38cf48: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442)@535fab38cf48: Final verdict of PTC: pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440)@535fab38cf48: Final verdict of PTC: none TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(441)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(439): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(440): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(441): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(442): pass (none -> pass) MTC@535fab38cf48: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass'. Sun Oct 20 06:45:08 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1950041) Waiting for packet dumper to finish... 1 (prev_count=1950041, count=1950538) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active'. ------ BTS_Tests.TC_ipa_crcx_sdcch_not_active ------ Sun Oct 20 06:45:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_ipa_crcx_sdcch_not_active started. TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active(446)@535fab38cf48: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(446)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ipa_crcx_sdcch_not_active(447)@535fab38cf48: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(447)@535fab38cf48: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active-RSL(444)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(445)@535fab38cf48: Final verdict of PTC: none TC_ipa_crcx_sdcch_not_active-RSL-IPA(443)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL-IPA(443): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL(444): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(445): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(446): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(447): pass (pass -> pass) MTC@535fab38cf48: Test case TC_ipa_crcx_sdcch_not_active finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass'. Sun Oct 20 06:45:14 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_sdcch_not_active pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ipa_crcx_sdcch_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1947580) Waiting for packet dumper to finish... 1 (prev_count=1947580, count=1948077) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr'. ------ BTS_Tests.TC_ipa_crcx_ack_addr ------ Sun Oct 20 06:45:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap" >/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_ipa_crcx_ack_addr started. TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr(451)@535fab38cf48: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(451)@535fab38cf48: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(451)@535fab38cf48: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ipa_crcx_ack_addr(452)@535fab38cf48: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(452)@535fab38cf48: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(452)@535fab38cf48: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" IPA-CTRL-CLI-IPA(450)@535fab38cf48: Final verdict of PTC: none TC_ipa_crcx_ack_addr-RSL(449)@535fab38cf48: Final verdict of PTC: none TC_ipa_crcx_ack_addr-RSL-IPA(448)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL-IPA(448): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL(449): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(450): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_ack_addr(451): fail (none -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@535fab38cf48: Local verdict of PTC TC_ipa_crcx_ack_addr(452): fail (fail -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@535fab38cf48: Test case TC_ipa_crcx_ack_addr finished. Verdict: fail reason: CRCX ACK indicates nonsense addr "0.0.0.0" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail'. Sun Oct 20 06:45:19 UTC 2024 ------ BTS_Tests.TC_ipa_crcx_ack_addr fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ipa_crcx_ack_addr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1952992) Waiting for packet dumper to finish... 1 (prev_count=1952992, count=1953489) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps'. ------ BTS_Tests.TC_paging_imsi_200percent_with_ps ------ Sun Oct 20 06:45:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_paging_imsi_200percent_with_ps started. TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: Rx LOAD_IND MTC@535fab38cf48: num_paging_sent=679 rcvd_msgs=270 rcvd_ids=537 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":4445 TC_paging_imsi_200percent_with_ps-RSL(454)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(455)@535fab38cf48: Final verdict of PTC: none TC_paging_imsi_200percent_with_ps-RSL-IPA(453)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL-IPA(453): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL(454): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(455): none (pass -> pass) MTC@535fab38cf48: Test case TC_paging_imsi_200percent_with_ps finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass'. Sun Oct 20 06:45:59 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent_with_ps pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_paging_imsi_200percent_with_ps.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=33420772) Waiting for packet dumper to finish... 1 (prev_count=33420772, count=33421269) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req'. ------ BTS_Tests.TC_pcu_act_req ------ Sun Oct 20 06:46:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req.pcap" >/data/BTS_Tests.TC_pcu_act_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_act_req started. TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(457)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(457)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(457)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(457)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL(457)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_act_req-RSL(457)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_act_req-RSL(457)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(457)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(457)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(457)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(457)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL(457)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(457)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(457)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(457)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(457)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_act_req-RSL(457)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(458)@535fab38cf48: Final verdict of PTC: none TC_pcu_act_req-RSL-IPA(456)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_act_req-RSL-IPA(456): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_act_req-RSL(457): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(458): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_act_req finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass'. Sun Oct 20 06:46:07 UTC 2024 ====== BTS_Tests.TC_pcu_act_req pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_act_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3314163) Waiting for packet dumper to finish... 1 (prev_count=3314163, count=3319336) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts'. ------ BTS_Tests.TC_pcu_act_req_wrong_ts ------ Sun Oct 20 06:46:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_act_req_wrong_ts started. TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_act_req_wrong_ts-RSL(460)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(461)@535fab38cf48: Final verdict of PTC: none TC_pcu_act_req_wrong_ts-RSL-IPA(459)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL-IPA(459): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL(460): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(461): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_act_req_wrong_ts finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass'. Sun Oct 20 06:46:19 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_ts pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4657560) Waiting for packet dumper to finish... 1 (prev_count=4657560, count=4658057) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts'. ------ BTS_Tests.TC_pcu_act_req_wrong_bts ------ Sun Oct 20 06:46:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_act_req_wrong_bts started. TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_act_req_wrong_bts-RSL(463)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(464)@535fab38cf48: Final verdict of PTC: none TC_pcu_act_req_wrong_bts-RSL-IPA(462)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL-IPA(462): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL(463): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(464): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_act_req_wrong_bts finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass'. Sun Oct 20 06:46:30 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_bts pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4679299) Waiting for packet dumper to finish... 1 (prev_count=4679299, count=4679796) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx'. ------ BTS_Tests.TC_pcu_act_req_wrong_trx ------ Sun Oct 20 06:46:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_act_req_wrong_trx started. TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_act_req_wrong_trx-RSL(466)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(467)@535fab38cf48: Final verdict of PTC: none TC_pcu_act_req_wrong_trx-RSL-IPA(465)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL-IPA(465): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL(466): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(467): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_act_req_wrong_trx finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass'. Sun Oct 20 06:46:42 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_trx pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4673861) Waiting for packet dumper to finish... 1 (prev_count=4673861, count=4679471) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req'. ------ BTS_Tests.TC_pcu_deact_req ------ Sun Oct 20 06:46:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req.pcap" >/data/BTS_Tests.TC_pcu_deact_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_deact_req started. TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(469)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(469)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(469)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_deact_req-RSL(469)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(469)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(469)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(469)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(469)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL(469)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(469)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_deact_req-RSL(469)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(469)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(469)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_deact_req-RSL(469)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(469)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(469)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_deact_req-RSL(469)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(470)@535fab38cf48: Final verdict of PTC: none TC_pcu_deact_req-RSL-IPA(468)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_deact_req-RSL-IPA(468): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_deact_req-RSL(469): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(470): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_deact_req finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass'. Sun Oct 20 06:46:55 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_deact_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5626007) Waiting for packet dumper to finish... 1 (prev_count=5626007, count=5626504) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts'. ------ BTS_Tests.TC_pcu_deact_req_wrong_ts ------ Sun Oct 20 06:46:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_deact_req_wrong_ts started. TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_deact_req_wrong_ts-RSL(472)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(473)@535fab38cf48: Final verdict of PTC: none TC_pcu_deact_req_wrong_ts-RSL-IPA(471)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL-IPA(471): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL(472): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(473): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_deact_req_wrong_ts finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass'. Sun Oct 20 06:47:05 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req_wrong_ts pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_deact_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3764690) Waiting for packet dumper to finish... 1 (prev_count=3764690, count=3765315) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1'. ------ BTS_Tests.TC_pcu_ver_si1 ------ Sun Oct 20 06:47:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si1.pcap" >/data/BTS_Tests.TC_pcu_ver_si1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_ver_si1 started. TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(475)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(475)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(475)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(475)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(475)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(475)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_ver_si1-RSL(475)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(475)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL(475)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(475)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_ver_si1-RSL(475)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(475)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(475)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_ver_si1-RSL(475)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(475)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(475)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_1 (1): '550111132A252B27CC29AA11BB33CC'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_ver_si1-RSL(475)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(476)@535fab38cf48: Final verdict of PTC: none TC_pcu_ver_si1-RSL-IPA(474)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_ver_si1-RSL-IPA(474): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_ver_si1-RSL(475): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(476): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_ver_si1 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass'. Sun Oct 20 06:47:11 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si1 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_ver_si1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1935304) Waiting for packet dumper to finish... 1 (prev_count=1935304, count=1935801) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3'. ------ BTS_Tests.TC_pcu_ver_si3 ------ Sun Oct 20 06:47:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si3.pcap" >/data/BTS_Tests.TC_pcu_ver_si3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_ver_si3 started. TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(478)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(478)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(478)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(478)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(478)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(478)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ver_si3-RSL(478)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(478)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(478)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(478)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_ver_si3-RSL(478)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(478)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(478)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_ver_si3-RSL(478)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(478)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(478)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49012223242526272929AABBCC'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_ver_si3-RSL(478)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(479)@535fab38cf48: Final verdict of PTC: none TC_pcu_ver_si3-RSL-IPA(477)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_ver_si3-RSL-IPA(477): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_ver_si3-RSL(478): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(479): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_ver_si3 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass'. Sun Oct 20 06:47:16 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_ver_si3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1936685) Waiting for packet dumper to finish... 1 (prev_count=1936685, count=1937182) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13'. ------ BTS_Tests.TC_pcu_ver_si13 ------ Sun Oct 20 06:47:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si13.pcap" >/data/BTS_Tests.TC_pcu_ver_si13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_ver_si13 started. TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_ver_si13-RSL(481)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(481)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(481)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(481)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL(481)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(481)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(481)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(481)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(481)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(481)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(481)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL(481)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(481)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(481)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si13-RSL(481)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(481)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_13 (40): '01010203040506070909'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_ver_si13-RSL(481)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(482)@535fab38cf48: Final verdict of PTC: none TC_pcu_ver_si13-RSL-IPA(480)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_ver_si13-RSL-IPA(480): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_ver_si13-RSL(481): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(482): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_ver_si13 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass'. Sun Oct 20 06:47:22 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si13 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_ver_si13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1933359) Waiting for packet dumper to finish... 1 (prev_count=1933359, count=1938969) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch'. ------ BTS_Tests.TC_pcu_data_req_pdtch ------ Sun Oct 20 06:47:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_data_req_pdtch started. TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 2/4 RF Resource Indication(s) received MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pdtch-RSL(484)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(485)@535fab38cf48: Final verdict of PTC: none TC_pcu_data_req_pdtch-RSL-IPA(483)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_pdtch-RSL-IPA(483): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_pdtch-RSL(484): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(485): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_data_req_pdtch finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass'. Sun Oct 20 06:47:30 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pdtch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_pdtch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4724899) Waiting for packet dumper to finish... 1 (prev_count=4724899, count=4725524) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch'. ------ BTS_Tests.TC_pcu_data_req_ptcch ------ Sun Oct 20 06:47:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap" >/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_data_req_ptcch started. TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_ptcch-RSL(487)@535fab38cf48: Final verdict of PTC: none TC_pcu_data_req_ptcch-RSL-IPA(486)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(488)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_ptcch-RSL-IPA(486): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_ptcch-RSL(487): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(488): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_data_req_ptcch finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass'. Sun Oct 20 06:47:40 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ptcch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5194175) Waiting for packet dumper to finish... 1 (prev_count=5194175, count=5194800) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts'. ------ BTS_Tests.TC_pcu_data_req_wrong_bts ------ Sun Oct 20 06:47:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_data_req_wrong_bts started. TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_bts-RSL(490)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(491)@535fab38cf48: Final verdict of PTC: none TC_pcu_data_req_wrong_bts-RSL-IPA(489)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL-IPA(489): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL(490): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(491): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_data_req_wrong_bts finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass'. Sun Oct 20 06:47:53 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_bts pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8452958) Waiting for packet dumper to finish... 1 (prev_count=8452958, count=8453455) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx'. ------ BTS_Tests.TC_pcu_data_req_wrong_trx ------ Sun Oct 20 06:47:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_data_req_wrong_trx started. TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_trx-RSL(493)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(494)@535fab38cf48: Final verdict of PTC: none TC_pcu_data_req_wrong_trx-RSL-IPA(492)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL-IPA(492): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL(493): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(494): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_data_req_wrong_trx finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass'. Sun Oct 20 06:48:07 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_trx pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8450269) Waiting for packet dumper to finish... 1 (prev_count=8450269, count=8455442) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts'. ------ BTS_Tests.TC_pcu_data_req_wrong_ts ------ Sun Oct 20 06:48:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_data_req_wrong_ts started. TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_ts-RSL(496)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(497)@535fab38cf48: Final verdict of PTC: none TC_pcu_data_req_wrong_ts-RSL-IPA(495)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL-IPA(495): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL(496): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(497): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_data_req_wrong_ts finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass'. Sun Oct 20 06:48:21 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_ts pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8446410) Waiting for packet dumper to finish... 1 (prev_count=8446410, count=8446907) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive'. ------ BTS_Tests.TC_pcu_data_req_ts_inactive ------ Sun Oct 20 06:48:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap" >/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_data_req_ts_inactive started. TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_data_req_ts_inactive-RSL(499)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(500)@535fab38cf48: Final verdict of PTC: none TC_pcu_data_req_ts_inactive-RSL-IPA(498)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL-IPA(498): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL(499): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(500): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_data_req_ts_inactive finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass'. Sun Oct 20 06:48:31 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ts_inactive pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_ts_inactive.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5279882) Waiting for packet dumper to finish... 1 (prev_count=5279882, count=5280816) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch'. ------ BTS_Tests.TC_pcu_ptcch ------ Sun Oct 20 06:48:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ptcch.pcap" >/data/BTS_Tests.TC_pcu_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_ptcch started. TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_ptcch-RSL(502)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(502)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(502)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(502)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(502)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(502)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_ptcch-RSL(502)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(502)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(502)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(502)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(502)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(502)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(502)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_ptcch-RSL(502)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(502)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(502)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@535fab38cf48: Sending an Access Burst towards the L1CTL interface MTC@535fab38cf48: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL_RACH_CONF", new component reason: "Timeout waiting for L1CTL_RACH_CONF" IPA-CTRL-CLI-IPA(503)@535fab38cf48: Final verdict of PTC: none TC_pcu_ptcch-RSL(502)@535fab38cf48: Final verdict of PTC: none TC_pcu_ptcch-RSL-IPA(501)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: fail reason: "Timeout waiting for L1CTL_RACH_CONF" MTC@535fab38cf48: Local verdict of PTC TC_pcu_ptcch-RSL-IPA(501): none (fail -> fail) MTC@535fab38cf48: Local verdict of PTC TC_pcu_ptcch-RSL(502): none (fail -> fail) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(503): none (fail -> fail) MTC@535fab38cf48: Test case TC_pcu_ptcch finished. Verdict: fail reason: Timeout waiting for L1CTL_RACH_CONF MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch fail'. Sun Oct 20 06:48:42 UTC 2024 ------ BTS_Tests.TC_pcu_ptcch fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6186388) Waiting for packet dumper to finish... 1 (prev_count=6186388, count=6191998) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch'. ------ BTS_Tests.TC_pcu_data_req_agch ------ Sun Oct 20 06:48:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_data_req_agch started. TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(505)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(505)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(505)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(505)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_agch-RSL(505)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(506)@535fab38cf48: Final verdict of PTC: none TC_pcu_data_req_agch-RSL-IPA(504)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_agch-RSL-IPA(504): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_agch-RSL(505): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(506): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_data_req_agch finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass'. Sun Oct 20 06:48:51 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_agch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4723588) Waiting for packet dumper to finish... 1 (prev_count=4723588, count=4724085) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch'. ------ BTS_Tests.TC_pcu_data_req_pch ------ Sun Oct 20 06:48:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_data_req_pch started. TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pch-RSL(508)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pch-RSL(508)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pch-RSL(508)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(508)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pch-RSL(508)@535fab38cf48: Final verdict of PTC: none TC_pcu_data_req_pch-RSL-IPA(507)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(509)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_pch-RSL-IPA(507): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_pch-RSL(508): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(509): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_data_req_pch finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass'. Sun Oct 20 06:48:59 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4723906) Waiting for packet dumper to finish... 1 (prev_count=4723906, count=4724403) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_pch ------ Sun Oct 20 06:49:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_data_req_imm_ass_pch started. TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: IMM.ASS was sent on PCH MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_pch-RSL(511)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(512)@535fab38cf48: Final verdict of PTC: none TC_pcu_data_req_imm_ass_pch-RSL-IPA(510)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL-IPA(510): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL(511): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(512): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_data_req_imm_ass_pch finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass'. Sun Oct 20 06:49:05 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_pch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2169103) Waiting for packet dumper to finish... 1 (prev_count=2169103, count=2169600) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_agch ------ Sun Oct 20 06:49:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_data_req_imm_ass_agch started. TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: IMM.ASS was sent on AGCH MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_agch-RSL-IPA(513)@535fab38cf48: Final verdict of PTC: none TC_pcu_data_req_imm_ass_agch-RSL(514)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(515)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL-IPA(513): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL(514): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(515): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_data_req_imm_ass_agch finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass'. Sun Oct 20 06:49:11 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_agch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2124520) Waiting for packet dumper to finish... 1 (prev_count=2124520, count=2125017) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content'. ------ BTS_Tests.TC_pcu_rach_content ------ Sun Oct 20 06:49:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rach_content.pcap" >/data/BTS_Tests.TC_pcu_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_rach_content started. TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(517)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(517)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(517)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(517)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(517)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(517)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_rach_content-RSL(517)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(517)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(517)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(517)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_rach_content-RSL(517)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(517)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(517)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_rach_content-RSL(517)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(517)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(517)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_rach_content-RSL(517)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(518)@535fab38cf48: Final verdict of PTC: none TC_pcu_rach_content-RSL-IPA(516)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_rach_content-RSL-IPA(516): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_rach_content-RSL(517): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(518): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_rach_content finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass'. Sun Oct 20 06:49:25 UTC 2024 ====== BTS_Tests.TC_pcu_rach_content pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11161120) Waiting for packet dumper to finish... 1 (prev_count=11161120, count=11161617) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content'. ------ BTS_Tests.TC_pcu_ext_rach_content ------ Sun Oct 20 06:49:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ext_rach_content.pcap" >/data/BTS_Tests.TC_pcu_ext_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_ext_rach_content started. TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_ext_rach_content-RSL(520)@535fab38cf48: Final verdict of PTC: none TC_pcu_ext_rach_content-RSL-IPA(519)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(521)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_ext_rach_content-RSL-IPA(519): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_ext_rach_content-RSL(520): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(521): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_ext_rach_content finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass'. Sun Oct 20 06:49:39 UTC 2024 ====== BTS_Tests.TC_pcu_ext_rach_content pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_ext_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11165212) Waiting for packet dumper to finish... 1 (prev_count=11165212, count=11165709) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb'. ------ BTS_Tests.TC_pcu_data_ind_lqual_cb ------ Sun Oct 20 06:49:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap" >/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_data_ind_lqual_cb started. TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Testing C/I=-256 cB MTC@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"", new component reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"" MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":5991 TC_pcu_data_ind_lqual_cb-RSL(523)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(524)@535fab38cf48: Final verdict of PTC: none TC_pcu_data_ind_lqual_cb-RSL-IPA(522)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: fail reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"" MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL-IPA(522): none (fail -> fail) MTC@535fab38cf48: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL(523): none (fail -> fail) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(524): none (fail -> fail) MTC@535fab38cf48: Test case TC_pcu_data_ind_lqual_cb finished. Verdict: fail reason: "BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb fail'. Sun Oct 20 06:49:49 UTC 2024 ------ BTS_Tests.TC_pcu_data_ind_lqual_cb fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_data_ind_lqual_cb.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5472586) Waiting for packet dumper to finish... 1 (prev_count=5472586, count=5473083) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl'. ------ BTS_Tests.TC_pcu_paging_from_rsl ------ Sun Oct 20 06:49:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap" >/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_paging_from_rsl started. TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_paging_from_rsl-RSL-IPA(525)@535fab38cf48: Final verdict of PTC: none TC_pcu_paging_from_rsl-RSL(526)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(527)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_paging_from_rsl-RSL-IPA(525): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_paging_from_rsl-RSL(526): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(527): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_paging_from_rsl finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass'. Sun Oct 20 06:49:55 UTC 2024 ====== BTS_Tests.TC_pcu_paging_from_rsl pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_paging_from_rsl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2087266) Waiting for packet dumper to finish... 1 (prev_count=2087266, count=2087763) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind'. ------ BTS_Tests.TC_pcu_time_ind ------ Sun Oct 20 06:49:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_time_ind.pcap" >/data/BTS_Tests.TC_pcu_time_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_time_ind started. TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(529)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(529)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(529)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(529)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(529)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(529)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_time_ind-RSL(529)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(529)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL(529)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(529)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(529)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL(529)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(529)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_time_ind-RSL(529)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(529)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(529)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: 1083 fn expired with 251 PCU_TIME.ind MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_time_ind-RSL(529)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(530)@535fab38cf48: Final verdict of PTC: none TC_pcu_time_ind-RSL-IPA(528)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_time_ind-RSL-IPA(528): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_time_ind-RSL(529): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(530): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_time_ind finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass'. Sun Oct 20 06:50:08 UTC 2024 ====== BTS_Tests.TC_pcu_time_ind pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_time_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5804583) Waiting for packet dumper to finish... 1 (prev_count=5804583, count=5805080) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req'. ------ BTS_Tests.TC_pcu_rts_req ------ Sun Oct 20 06:50:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rts_req.pcap" >/data/BTS_Tests.TC_pcu_rts_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_rts_req started. TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_rts_req-RSL(532)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(532)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(532)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(532)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(532)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(532)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_rts_req-RSL(532)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(532)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL(532)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(532)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_rts_req-RSL(532)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(532)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(532)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(532)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL(532)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(532)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: 1079 fn expired with num_rts_pdtch=250, num_rts_ptcch=10 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rts_req-RSL(532)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(533)@535fab38cf48: Final verdict of PTC: none TC_pcu_rts_req-RSL-IPA(531)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_rts_req-RSL-IPA(531): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_rts_req-RSL(532): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(533): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_rts_req finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass'. Sun Oct 20 06:50:22 UTC 2024 ====== BTS_Tests.TC_pcu_rts_req pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_rts_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5755928) Waiting for packet dumper to finish... 1 (prev_count=5755928, count=5761538) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert'. ------ BTS_Tests.TC_pcu_oml_alert ------ Sun Oct 20 06:50:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_oml_alert.pcap" >/data/BTS_Tests.TC_pcu_oml_alert.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_oml_alert started. TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(535)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(535)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(535)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(535)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(535)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(535)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(535)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(535)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL(535)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(535)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_oml_alert-RSL(535)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(535)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(535)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_oml_alert-RSL(535)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(535)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(535)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(536)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(537)@535fab38cf48: setverdict(fail): none -> fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration", new component reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MC@535fab38cf48: Test Component 537 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(537)@535fab38cf48: Final verdict of PTC: fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" TC_pcu_oml_alert-RSL(535)@535fab38cf48: Final verdict of PTC: none TC_pcu_oml_alert-RSL-IPA(534)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_oml_alert-RSL-IPA(534): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_oml_alert-RSL(535): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(536): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(537): fail (pass -> fail) reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MTC@535fab38cf48: Test case TC_pcu_oml_alert finished. Verdict: fail reason: Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail'. Sun Oct 20 06:50:31 UTC 2024 ------ BTS_Tests.TC_pcu_oml_alert fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_oml_alert.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3322893) Waiting for packet dumper to finish... 1 (prev_count=3322893, count=3323390) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend'. ------ BTS_Tests.TC_pcu_rr_suspend ------ Sun Oct 20 06:50:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rr_suspend.pcap" >/data/BTS_Tests.TC_pcu_rr_suspend.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_rr_suspend started. TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_rr_suspend-RSL(539)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(539)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(539)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(539)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend(541)@535fab38cf48: setverdict(pass): none -> pass TC_pcu_rr_suspend(541)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_pcu_rr_suspend(541)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_pcu_rr_suspend(541)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(541)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(541)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(541)@535fab38cf48: Final verdict of PTC: pass TC_pcu_rr_suspend-RSL(539)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(540)@535fab38cf48: Final verdict of PTC: none TC_pcu_rr_suspend-RSL-IPA(538)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_pcu_rr_suspend-RSL-IPA(538): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_pcu_rr_suspend-RSL(539): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(540): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_pcu_rr_suspend(541): pass (none -> pass) MTC@535fab38cf48: Test case TC_pcu_rr_suspend finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass'. Sun Oct 20 06:50:37 UTC 2024 ====== BTS_Tests.TC_pcu_rr_suspend pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_rr_suspend.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2335863) Waiting for packet dumper to finish... 1 (prev_count=2335863, count=2341036) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi'. ------ BTS_Tests.TC_pcu_socket_connect_multi ------ Sun Oct 20 06:50:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_socket_connect_multi started. TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: BTS has accept()ed connection MTC@535fab38cf48: BTS has close()d connection MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":6302 TC_pcu_socket_connect_multi-RSL(543)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(544)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_connect_multi-RSL-IPA(542)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_connect_multi-RSL-IPA(542): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_connect_multi-RSL(543): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(544): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_socket_connect_multi finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass'. Sun Oct 20 06:50:42 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_multi pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_connect_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1932166) Waiting for packet dumper to finish... 1 (prev_count=1932166, count=1937339) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect'. ------ BTS_Tests.TC_pcu_socket_reconnect ------ Sun Oct 20 06:50:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_reconnect.pcap" >/data/BTS_Tests.TC_pcu_socket_reconnect.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_socket_reconnect started. TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":6322 TC_pcu_socket_reconnect-RSL(546)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(547)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_reconnect-RSL-IPA(545)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_reconnect-RSL-IPA(545): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_reconnect-RSL(546): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(547): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_socket_reconnect finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass'. Sun Oct 20 06:50:50 UTC 2024 ====== BTS_Tests.TC_pcu_socket_reconnect pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_reconnect.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2844107) Waiting for packet dumper to finish... 1 (prev_count=2844107, count=2844604) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs ------ Sun Oct 20 06:50:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_socket_noconnect_nosi3gprs started. TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi3gprs-RSL(549)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(550)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(548): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL(549): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(550): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_socket_noconnect_nosi3gprs finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass'. Sun Oct 20 06:50:58 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4437539) Waiting for packet dumper to finish... 1 (prev_count=4437539, count=4438036) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs ------ Sun Oct 20 06:51:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_socket_noconnect_nosi4gprs started. TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi4gprs-RSL(552)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(553)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(551): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL(552): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(553): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_socket_noconnect_nosi4gprs finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass'. Sun Oct 20 06:51:06 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3785298) Waiting for packet dumper to finish... 1 (prev_count=3785298, count=3785795) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si3gprs ------ Sun Oct 20 06:51:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_socket_connect_si3gprs started. TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si3gprs-RSL(555)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_connect_si3gprs-RSL-IPA(554)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(556)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL-IPA(554): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL(555): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(556): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_socket_connect_si3gprs finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass'. Sun Oct 20 06:51:14 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si3gprs pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_connect_si3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4444736) Waiting for packet dumper to finish... 1 (prev_count=4444736, count=4445233) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si4gprs ------ Sun Oct 20 06:51:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_socket_connect_si4gprs started. TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si4gprs-RSL(558)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_connect_si4gprs-RSL-IPA(557)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(559)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL-IPA(557): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL(558): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(559): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_socket_connect_si4gprs finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass'. Sun Oct 20 06:51:22 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si4gprs pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_connect_si4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3789487) Waiting for packet dumper to finish... 1 (prev_count=3789487, count=3795097) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs ------ Sun Oct 20 06:51:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_socket_disconnect_nosi3gprs started. TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi3gprs-RSL(561)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(562)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(560): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL(561): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(562): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_socket_disconnect_nosi3gprs finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass'. Sun Oct 20 06:51:31 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5290137) Waiting for packet dumper to finish... 1 (prev_count=5290137, count=5290634) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs ------ Sun Oct 20 06:51:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_socket_disconnect_nosi4gprs started. TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@535fab38cf48: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi4gprs-RSL(564)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(565)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(563): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL(564): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(565): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_socket_disconnect_nosi4gprs finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass'. Sun Oct 20 06:51:41 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5500963) Waiting for packet dumper to finish... 1 (prev_count=5500963, count=5501460) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind'. ------ BTS_Tests.TC_pcu_socket_verify_info_ind ------ Sun Oct 20 06:51:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap" >/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_socket_verify_info_ind started. TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_socket_verify_info_ind-RSL(567)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(568)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_verify_info_ind-RSL-IPA(566)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL-IPA(566): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL(567): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(568): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_socket_verify_info_ind finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass'. Sun Oct 20 06:51:48 UTC 2024 ====== BTS_Tests.TC_pcu_socket_verify_info_ind pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_verify_info_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2840425) Waiting for packet dumper to finish... 1 (prev_count=2840425, count=2840922) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_act_deact ------ Sun Oct 20 06:51:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_act_deact started. TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact(572)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_act_deact(572)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(572)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(572)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_act_deact-RSL(570)@535fab38cf48: Final verdict of PTC: none TC_dyn_osmo_pdch_act_deact-RSL-IPA(569)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(571)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL-IPA(569): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL(570): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(571): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_act_deact(572): pass (none -> pass) MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_act_deact finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass'. Sun Oct 20 06:51:57 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_act_deact pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3444860) Waiting for packet dumper to finish... 1 (prev_count=3444860, count=3450033) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_double_act ------ Sun Oct 20 06:52:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_double_act started. TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act(576)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_double_act(576)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(576)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(576)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_double_act-RSL(574)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(575)@535fab38cf48: Final verdict of PTC: none TC_dyn_osmo_pdch_double_act-RSL-IPA(573)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL-IPA(573): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL(574): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(575): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_double_act(576): pass (none -> pass) MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_double_act finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass'. Sun Oct 20 06:52:02 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_double_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_double_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1965348) Waiting for packet dumper to finish... 1 (prev_count=1965348, count=1965845) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_deact ------ Sun Oct 20 06:52:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_dyn_ipa_pdch_act_deact started. TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: Established a new IPA connection (conn_id=5) MTC@535fab38cf48: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_act_deact(580)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_deact(580)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_deact(580)@535fab38cf48: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_deact-RSL(578)@535fab38cf48: Final verdict of PTC: none TC_dyn_ipa_pdch_act_deact-RSL-IPA(577)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(579)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL-IPA(577): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL(578): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(579): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_ipa_pdch_act_deact(580): pass (none -> pass) MTC@535fab38cf48: Test case TC_dyn_ipa_pdch_act_deact finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass'. Sun Oct 20 06:52:11 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_deact pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3336338) Waiting for packet dumper to finish... 1 (prev_count=3336338, count=3336835) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack ------ Sun Oct 20 06:52:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_dyn_ipa_pdch_act_tchf_act_nack started. TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_act_tchf_act_nack(584)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_tchf_act_nack(584)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(584)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(584)@535fab38cf48: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582)@535fab38cf48: Final verdict of PTC: none TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(583)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(581): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(582): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(583): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack(584): pass (none -> pass) MTC@535fab38cf48: Test case TC_dyn_ipa_pdch_act_tchf_act_nack finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass'. Sun Oct 20 06:52:17 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1963170) Waiting for packet dumper to finish... 1 (prev_count=1963170, count=1968343) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params'. ------ BTS_Tests.TC_pcu_info_ind_fh_params ------ Sun Oct 20 06:52:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap" >/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_info_ind_fh_params started. TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@535fab38cf48: Checking timeslot #7 of trx#0: { tsc := 7, hopping := 1, hsn := 0, maio := 1, ma_bit_len := 4, ma := '1010000000000000000000000000000000000000000000000000000000000000'B } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '01100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@535fab38cf48: Checking timeslot #6 of trx#1: { tsc := 7, hopping := 1, hsn := 6, maio := 1, ma_bit_len := 4, ma := '0110000000000000000000000000000000000000000000000000000000000000'B } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 0 }, ma_map := { len := 1, ma := '01010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@535fab38cf48: Checking timeslot #7 of trx#1: { tsc := 7, hopping := 1, hsn := 0, maio := 0, ma_bit_len := 4, ma := '0101000000000000000000000000000000000000000000000000000000000000'B } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 6 }, ma_map := { len := 1, ma := '01100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@535fab38cf48: Checking timeslot #6 of trx#2: { tsc := 7, hopping := 1, hsn := 6, maio := 0, ma_bit_len := 4, ma := '0110000000000000000000000000000000000000000000000000000000000000'B } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@535fab38cf48: Checking timeslot #7 of trx#2: { tsc := 7, hopping := 1, hsn := 0, maio := 3, ma_bit_len := 4, ma := '1010000000000000000000000000000000000000000000000000000000000000'B } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 2, hsn := 0 }, ma_map := { len := 1, ma := '01010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@535fab38cf48: Checking timeslot #7 of trx#3: { tsc := 7, hopping := 1, hsn := 0, maio := 2, ma_bit_len := 4, ma := '0101000000000000000000000000000000000000000000000000000000000000'B } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_pcu_info_ind_fh_params-RSL(586)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(587)@535fab38cf48: Final verdict of PTC: none TC_pcu_info_ind_fh_params-RSL-IPA(585)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL-IPA(585): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL(586): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(587): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_info_ind_fh_params finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass'. Sun Oct 20 06:52:22 UTC 2024 ====== BTS_Tests.TC_pcu_info_ind_fh_params pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_info_ind_fh_params.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1934292) Waiting for packet dumper to finish... 1 (prev_count=1934292, count=1939465) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv4 ------ Sun Oct 20 06:52:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_socket_nsvc_ipv4 started. TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(590)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL(589)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL-IPA(588)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL-IPA(588): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL(589): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(590): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_socket_nsvc_ipv4 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass'. Sun Oct 20 06:52:28 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1946532) Waiting for packet dumper to finish... 1 (prev_count=1946532, count=1947121) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv6 ------ Sun Oct 20 06:52:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_socket_nsvc_ipv6 started. TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv6-RSL(592)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv6-RSL-IPA(591)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(593)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL-IPA(591): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL(592): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(593): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_socket_nsvc_ipv6 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass'. Sun Oct 20 06:52:33 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv6.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1951634) Waiting for packet dumper to finish... 1 (prev_count=1951634, count=1952131) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc'. ------ BTS_Tests.TC_pcu_socket_two_nsvc ------ Sun Oct 20 06:52:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap" >/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_socket_two_nsvc started. TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass TC_pcu_socket_two_nsvc-RSL(595)@535fab38cf48: Final verdict of PTC: none TC_pcu_socket_two_nsvc-RSL-IPA(594)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(596)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL-IPA(594): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL(595): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(596): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_socket_two_nsvc finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass'. Sun Oct 20 06:52:39 UTC 2024 ====== BTS_Tests.TC_pcu_socket_two_nsvc pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_socket_two_nsvc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1968403) Waiting for packet dumper to finish... 1 (prev_count=1968403, count=1968900) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind'. ------ BTS_Tests.TC_pcu_interf_ind ------ Sun Oct 20 06:52:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_interf_ind.pcap" >/data/BTS_Tests.TC_pcu_interf_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_pcu_interf_ind started. TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(598)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(598)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(598)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(598)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(598)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(598)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_pcu_interf_ind-RSL(598)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(598)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL(598)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(598)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(598)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL(598)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(598)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(598)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_interf_ind-RSL(598)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(598)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Warning: Re-starting timer T, which is already active (running or expired). MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":6674 TC_pcu_interf_ind-RSL(598)@535fab38cf48: Final verdict of PTC: none TC_pcu_interf_ind-RSL-IPA(597)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(599)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_pcu_interf_ind-RSL-IPA(597): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_pcu_interf_ind-RSL(598): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(599): none (pass -> pass) MTC@535fab38cf48: Test case TC_pcu_interf_ind finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass'. Sun Oct 20 06:52:50 UTC 2024 ====== BTS_Tests.TC_pcu_interf_ind pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_pcu_interf_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5787592) Waiting for packet dumper to finish... 1 (prev_count=5787592, count=5788089) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_unsol_deact ------ Sun Oct 20 06:52:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_unsol_deact started. TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact(603)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_unsol_deact(603)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_unsol_deact-RSL(601)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(602)@535fab38cf48: Final verdict of PTC: none TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(600): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL(601): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(602): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact(603): pass (none -> pass) MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_unsol_deact finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass'. Sun Oct 20 06:52:55 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1961924) Waiting for packet dumper to finish... 1 (prev_count=1961924, count=1962421) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchf_act ------ Sun Oct 20 06:52:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_tchf_act started. TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act(607)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchf_act(607)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchf_act(607)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchf_act-RSL(605)@535fab38cf48: Final verdict of PTC: none TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(606)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL-IPA(604): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL(605): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(606): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act(607): pass (none -> pass) MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_tchf_act finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass'. Sun Oct 20 06:53:01 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1968418) Waiting for packet dumper to finish... 1 (prev_count=1968418, count=1968915) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_act ------ Sun Oct 20 06:53:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_tchh_act started. TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act(611)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_act(611)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(611)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(611)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_act-RSL(609)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(610)@535fab38cf48: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL-IPA(608): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL(609): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(610): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act(611): pass (none -> pass) MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_tchh_act finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass'. Sun Oct 20 06:53:07 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1977970) Waiting for packet dumper to finish... 1 (prev_count=1977970, count=1983143) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act ------ Sun Oct 20 06:53:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_sdcch8_act started. TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act(615)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_act(615)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(615)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_act-RSL(613)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(614)@535fab38cf48: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(612): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL(613): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(614): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act(615): pass (none -> pass) MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_sdcch8_act finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass'. Sun Oct 20 06:53:12 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2051864) Waiting for packet dumper to finish... 1 (prev_count=2051864, count=2057037) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act ------ Sun Oct 20 06:53:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_tchh_race_act started. TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act(619)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(620)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(619)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(619)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(620)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(620)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(619)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_race_act(620)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(617)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(618)@535fab38cf48: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(616): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL(617): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(618): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(619): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(620): pass (pass -> pass) MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_tchh_race_act finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass'. Sun Oct 20 06:53:19 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2807908) Waiting for packet dumper to finish... 1 (prev_count=2807908, count=2808405) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act ------ Sun Oct 20 06:53:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_sdcch8_race_act started. TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act(624)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(625)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(626)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(627)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(628)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(629)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(630)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(631)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(624)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(624)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(625)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(624)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(625)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(625)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(626)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(626)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(626)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(627)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(627)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(628)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(628)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(627)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(629)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(629)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(628)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(630)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(630)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(629)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(630)@535fab38cf48: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(631)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(631)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(631)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622)@535fab38cf48: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(623)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(621): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL(622): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(623): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(624): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(625): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(626): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(627): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(628): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(629): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(630): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(631): pass (pass -> pass) MTC@535fab38cf48: Test case TC_dyn_osmo_pdch_sdcch8_race_act finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass'. Sun Oct 20 06:53:27 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2887766) Waiting for packet dumper to finish... 1 (prev_count=2887766, count=2892939) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act ------ Sun Oct 20 06:53:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_dyn_ipa_pdch_tchf_act started. TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_tchf_act(635)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act(635)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(635)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(635)@535fab38cf48: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act-RSL(633)@535fab38cf48: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(634)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL-IPA(632): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL(633): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(634): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act(635): pass (none -> pass) MTC@535fab38cf48: Test case TC_dyn_ipa_pdch_tchf_act finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass'. Sun Oct 20 06:53:32 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1969368) Waiting for packet dumper to finish... 1 (prev_count=1969368, count=1974541) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack ------ Sun Oct 20 06:53:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack started. TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: Established a new IPA connection (conn_id=5) MTC@535fab38cf48: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639)@535fab38cf48: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639)@535fab38cf48: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(638)@535fab38cf48: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(636): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(637): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(638): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(639): pass (none -> pass) MTC@535fab38cf48: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass'. Sun Oct 20 06:53:38 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1975411) Waiting for packet dumper to finish... 1 (prev_count=1975411, count=1975908) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind'. ------ BTS_Tests.TC_rll_est_ind ------ Sun Oct 20 06:53:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_ind.pcap" >/data/BTS_Tests.TC_rll_est_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rll_est_ind started. TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(641)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(641)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(641)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(641)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(641)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(641)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rll_est_ind-RSL(641)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(641)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL(641)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(641)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(641)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(641)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(641)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rll_est_ind-RSL(641)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(641)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(641)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(643)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(643)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(643)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(643)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(644)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(644)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(644)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(644)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(645)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(645)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(645)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(645)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(646)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(646)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(646)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(646)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(647)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(647)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(647)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(647)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(648)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(648)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(648)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(648)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(649)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(649)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(649)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(649)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(650)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(650)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(650)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(650)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(651)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(651)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(651)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(651)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(652)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(652)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(652)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(652)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(653)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(653)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(653)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(653)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(654)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(654)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(654)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(654)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(655)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(655)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(655)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(655)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(656)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(656)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(656)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(656)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(657)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(657)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(658)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(658)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(659)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(659)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(660)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(660)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(661)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(661)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(662)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(662)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(663)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(663)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(664)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(664)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(665)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(665)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(666)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(666)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(667)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(667)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(668)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(668)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(668)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(668)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(669)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(669)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(669)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(669)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(670)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_ind(670)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(670)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(670)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_ind-RSL(641)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(642)@535fab38cf48: Final verdict of PTC: none TC_rll_est_ind-RSL-IPA(640)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind-RSL-IPA(640): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind-RSL(641): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(642): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(643): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(644): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(645): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(646): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(647): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(648): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(649): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(650): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(651): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(652): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(653): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(654): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(655): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(656): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(657): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(658): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(659): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(660): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(661): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(662): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(663): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(664): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(665): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(666): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(667): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(668): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(669): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_ind(670): pass (pass -> pass) MTC@535fab38cf48: Test case TC_rll_est_ind finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass'. Sun Oct 20 06:54:28 UTC 2024 ====== BTS_Tests.TC_rll_est_ind pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_est_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=41379999) Waiting for packet dumper to finish... 1 (prev_count=41379999, count=41380496) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3'. ------ BTS_Tests.TC_rll_est_req_DCCH_3 ------ Sun Oct 20 06:54:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rll_est_req_DCCH_3 started. TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_DCCH_3(674)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(674)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(674)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(674)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(674)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(674)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_DCCH_3(675)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(675)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(675)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(675)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(675)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_DCCH_3(676)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(676)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(676)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(676)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(676)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_DCCH_3(677)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(677)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(677)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(677)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(677)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_DCCH_3-RSL(672)@535fab38cf48: Final verdict of PTC: none TC_rll_est_req_DCCH_3-RSL-IPA(671)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(673)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL-IPA(671): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL(672): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(673): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_req_DCCH_3(674): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_req_DCCH_3(675): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_req_DCCH_3(676): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_req_DCCH_3(677): pass (pass -> pass) MTC@535fab38cf48: Test case TC_rll_est_req_DCCH_3 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass'. Sun Oct 20 06:54:34 UTC 2024 ====== BTS_Tests.TC_rll_est_req_DCCH_3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_est_req_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2398627) Waiting for packet dumper to finish... 1 (prev_count=2398627, count=2399124) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3'. ------ BTS_Tests.TC_rll_est_req_ACCH_3 ------ Sun Oct 20 06:54:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rll_est_req_ACCH_3 started. TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_ACCH_3(681)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(681)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(681)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(682)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(682)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(682)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_ACCH_3(683)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(683)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(683)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(683)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(683)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(683)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_ACCH_3(684)@535fab38cf48: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(684)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(684)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(684)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(684)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(684)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(684)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_ACCH_3-RSL(679)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(680)@535fab38cf48: Final verdict of PTC: none TC_rll_est_req_ACCH_3-RSL-IPA(678)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL-IPA(678): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL(679): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(680): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_req_ACCH_3(681): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_req_ACCH_3(682): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_req_ACCH_3(683): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_est_req_ACCH_3(684): pass (pass -> pass) MTC@535fab38cf48: Test case TC_rll_est_req_ACCH_3 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass'. Sun Oct 20 06:54:41 UTC 2024 ====== BTS_Tests.TC_rll_est_req_ACCH_3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_est_req_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3483685) Waiting for packet dumper to finish... 1 (prev_count=3483685, count=3484619) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_0 ------ Sun Oct 20 06:54:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rll_rel_ind_DCCH_0 started. TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_0(688)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(688)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(688)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(688)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(688)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(688)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(688)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(688)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(688)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_0(689)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(689)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(689)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(689)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(689)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(689)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(689)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(689)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(689)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_0(690)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(690)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(690)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(690)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(690)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(690)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_0(691)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(691)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(691)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(691)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(691)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(691)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(691)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(691)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(691)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(691)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_0-RSL(686)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(687)@535fab38cf48: Final verdict of PTC: none TC_rll_rel_ind_DCCH_0-RSL-IPA(685)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL-IPA(685): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL(686): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(687): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_DCCH_0(688): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_DCCH_0(689): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_DCCH_0(690): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_DCCH_0(691): pass (pass -> pass) MTC@535fab38cf48: Test case TC_rll_rel_ind_DCCH_0 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass'. Sun Oct 20 06:54:49 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_0 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3391785) Waiting for packet dumper to finish... 1 (prev_count=3391785, count=3392282) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_3 ------ Sun Oct 20 06:54:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rll_rel_ind_DCCH_3 started. TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_3(695)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(695)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(695)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(695)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(695)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_3(696)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(696)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(696)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(696)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(696)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(696)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(696)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(696)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(696)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_3(697)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(697)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(697)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(697)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(697)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(697)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_3(698)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(698)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(698)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(698)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(698)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(698)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(698)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(698)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(698)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(698)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_3-RSL(693)@535fab38cf48: Final verdict of PTC: none TC_rll_rel_ind_DCCH_3-RSL-IPA(692)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(694)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL-IPA(692): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL(693): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(694): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_DCCH_3(695): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_DCCH_3(696): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_DCCH_3(697): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_DCCH_3(698): pass (pass -> pass) MTC@535fab38cf48: Test case TC_rll_rel_ind_DCCH_3 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass'. Sun Oct 20 06:54:56 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3395090) Waiting for packet dumper to finish... 1 (prev_count=3395090, count=3395587) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 ------ Sun Oct 20 06:54:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rll_rel_ind_ACCH_0 started. TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: Established a new IPA connection (conn_id=5) MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(702)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(703)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_0(704)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(704)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(704)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(704)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(704)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(704)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@535fab38cf48: Test Component 705 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_ind_ACCH_0-RSL(700)@535fab38cf48: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0-RSL-IPA(699)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(701)@535fab38cf48: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0(705)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL-IPA(699): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL(700): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(701): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_ACCH_0(702): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_ACCH_0(703): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_ACCH_0(704): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_ACCH_0(705): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@535fab38cf48: Test case TC_rll_rel_ind_ACCH_0 finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 fail'. Sun Oct 20 06:55:27 UTC 2024 ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21792949) Waiting for packet dumper to finish... 1 (prev_count=21792949, count=21798122) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 ------ Sun Oct 20 06:55:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rll_rel_ind_ACCH_3 started. TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(709)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(710)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_3(711)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(711)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(711)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(711)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(711)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(711)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@535fab38cf48: Test Component 712 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_ind_ACCH_3-RSL(707)@535fab38cf48: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3-RSL-IPA(706)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(708)@535fab38cf48: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3(712)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL-IPA(706): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL(707): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(708): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_ACCH_3(709): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_ACCH_3(710): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_ACCH_3(711): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_ind_ACCH_3(712): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@535fab38cf48: Test case TC_rll_rel_ind_ACCH_3 finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 fail'. Sun Oct 20 06:55:58 UTC 2024 ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21796467) Waiting for packet dumper to finish... 1 (prev_count=21796467, count=21796964) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req'. ------ BTS_Tests.TC_rll_rel_req ------ Sun Oct 20 06:56:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_req.pcap" >/data/BTS_Tests.TC_rll_rel_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rll_rel_req started. TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(714)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(714)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(714)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(714)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(714)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(714)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(714)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(714)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL(714)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(714)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rll_rel_req-RSL(714)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(714)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(714)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rll_rel_req-RSL(714)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(714)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(714)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(716)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(716)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(716)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(717)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(718)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(718)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(719)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(720)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(720)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(720)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(720)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(720)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(720)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(721)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(721)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(721)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(722)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(722)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(722)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(722)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(722)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(723)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(723)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(723)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(724)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(724)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(724)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(724)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(724)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(724)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(724)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(725)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(725)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(725)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(725)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(725)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(726)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(726)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(726)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(726)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(726)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(727)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(727)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(727)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(727)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(727)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(727)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(727)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(727)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(727)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(728)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(728)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(728)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(728)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(728)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(728)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(728)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(728)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(729)@535fab38cf48: setverdict(pass): none -> pass TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_req(729)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@535fab38cf48: Test Component 729 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_req-RSL(714)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(715)@535fab38cf48: Final verdict of PTC: none TC_rll_rel_req-RSL-IPA(713)@535fab38cf48: Final verdict of PTC: none TC_rll_rel_req(729)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req-RSL-IPA(713): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req-RSL(714): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(715): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(716): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(717): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(718): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(719): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(720): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(721): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(722): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(723): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(724): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(725): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(726): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(727): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(728): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_rel_req(729): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@535fab38cf48: Test case TC_rll_rel_req finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req fail'. Sun Oct 20 06:56:40 UTC 2024 ------ BTS_Tests.TC_rll_rel_req fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_rel_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=31107364) Waiting for packet dumper to finish... 1 (prev_count=31107364, count=31107861) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH'. ------ BTS_Tests.TC_rll_unit_data_req_DCCH ------ Sun Oct 20 06:56:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rll_unit_data_req_DCCH started. TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '47EF8FCDA04C29C28D25FFBED1FAF1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(733)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(733)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(733)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '47EF8FCDA04C29C28D25FFBED1FAF1'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(733)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(733)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(733)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '47EF8FCDA04C29C28D25FFBED1FAF1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(734)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(734)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(734)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '47EF8FCDA04C29C28D25FFBED1FAF1'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(734)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(734)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(734)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '47EF8FCDA04C29C28D25FFBED1FAF1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(735)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(735)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '47EF8FCDA04C29C28D25FFBED1FAF1'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(735)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(735)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(735)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '47EF8FCDA04C29C28D25FFBED1FAF1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(736)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(736)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(736)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '47EF8FCDA04C29C28D25FFBED1FAF1'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(736)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(736)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(736)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '47EF8FCDA04C29C28D25FFBED1FAF1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(737)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(737)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '47EF8FCDA04C29C28D25FFBED1FAF1'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(737)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(737)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(737)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '47EF8FCDA04C29C28D25FFBED1FAF1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(738)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(738)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '47EF8FCDA04C29C28D25FFBED1FAF1'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(738)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(738)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(738)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '47EF8FCDA04C29C28D25FFBED1FAF1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(739)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(739)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '47EF8FCDA04C29C28D25FFBED1FAF1'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(739)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(739)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(739)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '47EF8FCDA04C29C28D25FFBED1FAF1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(740)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(740)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '47EF8FCDA04C29C28D25FFBED1FAF1'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(740)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(740)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(740)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_DCCH-RSL(731)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(732)@535fab38cf48: Final verdict of PTC: none TC_rll_unit_data_req_DCCH-RSL-IPA(730)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL-IPA(730): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL(731): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(732): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_DCCH(733): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_DCCH(734): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_DCCH(735): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_DCCH(736): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_DCCH(737): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_DCCH(738): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_DCCH(739): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_DCCH(740): pass (pass -> pass) MTC@535fab38cf48: Test case TC_rll_unit_data_req_DCCH finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass'. Sun Oct 20 06:56:46 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_DCCH pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_unit_data_req_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3002314) Waiting for packet dumper to finish... 1 (prev_count=3002314, count=3002939) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH'. ------ BTS_Tests.TC_rll_unit_data_req_ACCH ------ Sun Oct 20 06:56:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rll_unit_data_req_ACCH started. TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O } } TC_rll_unit_data_req_ACCH(744)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(744)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(744)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O } } TC_rll_unit_data_req_ACCH(745)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(745)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(745)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O } } TC_rll_unit_data_req_ACCH(746)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(746)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(746)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(747)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(747)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O } } TC_rll_unit_data_req_ACCH(747)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(747)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(747)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(748)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(748)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(748)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O } } TC_rll_unit_data_req_ACCH(748)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(748)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(748)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(749)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(749)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(749)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(749)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O } } TC_rll_unit_data_req_ACCH(749)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(749)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(749)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(750)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(750)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(750)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(750)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O } } TC_rll_unit_data_req_ACCH(750)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(750)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(750)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(751)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(751)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(751)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(751)@535fab38cf48: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '986C65DD140552E0AF33DBE5F4F57CA1BAF837'O } } TC_rll_unit_data_req_ACCH(751)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(751)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(751)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_ACCH-RSL(742)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(743)@535fab38cf48: Final verdict of PTC: none TC_rll_unit_data_req_ACCH-RSL-IPA(741)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL-IPA(741): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL(742): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(743): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_ACCH(744): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_ACCH(745): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_ACCH(746): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_ACCH(747): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_ACCH(748): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_ACCH(749): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_ACCH(750): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_req_ACCH(751): pass (pass -> pass) MTC@535fab38cf48: Test case TC_rll_unit_data_req_ACCH finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass'. Sun Oct 20 06:56:56 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_ACCH pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_unit_data_req_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5177365) Waiting for packet dumper to finish... 1 (prev_count=5177365, count=5177862) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH'. ------ BTS_Tests.TC_rll_unit_data_ind_DCCH ------ Sun Oct 20 06:56:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rll_unit_data_ind_DCCH started. TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'C493BF184A676414CEF4A735079EB737AC04B451'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(755)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(755)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(755)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(755)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'C493BF184A676414CEF4A735079EB737AC04B451'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(756)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(756)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(756)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(756)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'C493BF184A676414CEF4A735079EB737AC04B451'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(757)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(757)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(757)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(757)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'C493BF184A676414CEF4A735079EB737AC04B451'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(758)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(758)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(758)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(758)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'C493BF184A676414CEF4A735079EB737AC04B451'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(759)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(759)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(759)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(759)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'C493BF184A676414CEF4A735079EB737AC04B451'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(760)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(760)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(760)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(760)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'C493BF184A676414CEF4A735079EB737AC04B451'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(761)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(761)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(761)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(761)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'C493BF184A676414CEF4A735079EB737AC04B451'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(762)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(762)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(762)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(762)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_DCCH-RSL(753)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(754)@535fab38cf48: Final verdict of PTC: none TC_rll_unit_data_ind_DCCH-RSL-IPA(752)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL-IPA(752): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL(753): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(754): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_DCCH(755): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_DCCH(756): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_DCCH(757): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_DCCH(758): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_DCCH(759): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_DCCH(760): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_DCCH(761): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_DCCH(762): pass (pass -> pass) MTC@535fab38cf48: Test case TC_rll_unit_data_ind_DCCH finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass'. Sun Oct 20 06:57:03 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_DCCH pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_unit_data_ind_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3420017) Waiting for packet dumper to finish... 1 (prev_count=3420017, count=3420514) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH'. ------ BTS_Tests.TC_rll_unit_data_ind_ACCH ------ Sun Oct 20 06:57:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rll_unit_data_ind_ACCH started. TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '56750814F580FE19652B08A9B3BCF686B3E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(766)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(766)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(766)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(766)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '56750814F580FE19652B08A9B3BCF686B3E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(767)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(767)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(767)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(767)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '56750814F580FE19652B08A9B3BCF686B3E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(768)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(768)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(768)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(768)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '56750814F580FE19652B08A9B3BCF686B3E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(769)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(769)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(769)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(769)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '56750814F580FE19652B08A9B3BCF686B3E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(770)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(770)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(770)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(770)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '56750814F580FE19652B08A9B3BCF686B3E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(771)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(771)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(771)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(771)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '56750814F580FE19652B08A9B3BCF686B3E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(772)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(772)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(772)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(772)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '56750814F580FE19652B08A9B3BCF686B3E8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(773)@535fab38cf48: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(773)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(773)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(773)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_ACCH-RSL(764)@535fab38cf48: Final verdict of PTC: none TC_rll_unit_data_ind_ACCH-RSL-IPA(763)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(765)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL-IPA(763): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL(764): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(765): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_ACCH(766): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_ACCH(767): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_ACCH(768): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_ACCH(769): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_ACCH(770): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_ACCH(771): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_ACCH(772): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rll_unit_data_ind_ACCH(773): pass (pass -> pass) MTC@535fab38cf48: Test case TC_rll_unit_data_ind_ACCH finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass'. Sun Oct 20 06:57:18 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_ACCH pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_rll_unit_data_ind_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9986070) Waiting for packet dumper to finish... 1 (prev_count=9986070, count=9986567) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51'. ------ BTS_Tests.TC_chan_act_a51 ------ Sun Oct 20 06:57:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a51.pcap" >/data/BTS_Tests.TC_chan_act_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_chan_act_a51 started. TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(775)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(775)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(775)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(775)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(775)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(775)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(775)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(775)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(775)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(775)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_chan_act_a51-RSL(775)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(775)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(775)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_chan_act_a51-RSL(775)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(775)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(775)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_chan_act_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a51(777)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a51(777)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a51(777)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a51(777)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(777)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(777)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(777)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_chan_act_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a51(778)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a51(778)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a51(778)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(778)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(778)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(778)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_chan_act_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a51(779)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a51(779)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a51(779)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(779)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(779)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(779)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_chan_act_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a51(780)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a51(780)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a51(780)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(780)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(780)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(780)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a51-RSL(775)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(776)@535fab38cf48: Final verdict of PTC: none TC_chan_act_a51-RSL-IPA(774)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a51-RSL-IPA(774): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a51-RSL(775): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(776): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a51(777): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a51(778): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a51(779): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a51(780): pass (pass -> pass) MTC@535fab38cf48: Test case TC_chan_act_a51 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass'. Sun Oct 20 06:57:25 UTC 2024 ====== BTS_Tests.TC_chan_act_a51 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3171576) Waiting for packet dumper to finish... 1 (prev_count=3171576, count=3172073) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52'. ------ BTS_Tests.TC_chan_act_a52 ------ Sun Oct 20 06:57:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a52.pcap" >/data/BTS_Tests.TC_chan_act_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_chan_act_a52 started. TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(782)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(782)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(782)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(782)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(782)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(782)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(782)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(782)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL(782)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(782)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_chan_act_a52-RSL(782)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(782)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(782)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_chan_act_a52-RSL(782)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(782)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(782)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_chan_act_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a52(784)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a52(784)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a52(784)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a52(784)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(784)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(784)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(784)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_chan_act_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a52(785)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a52(785)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a52(785)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(785)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(785)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(785)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_chan_act_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a52(786)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a52(786)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a52(786)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(786)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(786)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(786)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_chan_act_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a52(787)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a52(787)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a52(787)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a52(787)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a52(787)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(787)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(787)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(787)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a52-RSL(782)@535fab38cf48: Final verdict of PTC: none TC_chan_act_a52-RSL-IPA(781)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(783)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a52-RSL-IPA(781): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a52-RSL(782): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(783): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a52(784): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a52(785): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a52(786): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a52(787): pass (pass -> pass) MTC@535fab38cf48: Test case TC_chan_act_a52 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass'. Sun Oct 20 06:57:32 UTC 2024 ====== BTS_Tests.TC_chan_act_a52 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3172541) Waiting for packet dumper to finish... 1 (prev_count=3172541, count=3178151) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53'. ------ BTS_Tests.TC_chan_act_a53 ------ Sun Oct 20 06:57:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a53.pcap" >/data/BTS_Tests.TC_chan_act_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_chan_act_a53 started. TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(789)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(789)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(789)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(789)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(789)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(789)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_chan_act_a53-RSL(789)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(789)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL(789)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(789)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(789)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL(789)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(789)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_chan_act_a53-RSL(789)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(789)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(789)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_chan_act_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a53(791)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a53(791)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a53(791)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a53(791)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(791)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(791)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(791)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_chan_act_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a53(792)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a53(792)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a53(792)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(792)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(792)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(792)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_chan_act_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a53(793)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a53(793)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a53(793)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(793)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(793)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(793)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_chan_act_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a53(794)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a53(794)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a53(794)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(794)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(794)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(794)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a53-RSL(789)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(790)@535fab38cf48: Final verdict of PTC: none TC_chan_act_a53-RSL-IPA(788)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a53-RSL-IPA(788): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a53-RSL(789): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(790): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a53(791): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a53(792): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a53(793): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a53(794): pass (pass -> pass) MTC@535fab38cf48: Test case TC_chan_act_a53 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass'. Sun Oct 20 06:57:39 UTC 2024 ====== BTS_Tests.TC_chan_act_a53 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3172786) Waiting for packet dumper to finish... 1 (prev_count=3172786, count=3173283) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54'. ------ BTS_Tests.TC_chan_act_a54 ------ Sun Oct 20 06:57:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a54.pcap" >/data/BTS_Tests.TC_chan_act_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_chan_act_a54 started. TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_chan_act_a54-RSL(796)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_chan_act_a54-RSL(796)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_chan_act_a54-RSL(796)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(796)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(796)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(796)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_chan_act_a54-RSL(796)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(796)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(796)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(796)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a54-RSL(796)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(796)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(796)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(796)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL(796)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(796)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_chan_act_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a54(798)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a54(798)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(798)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a54(798)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(798)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(798)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(798)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_chan_act_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a54(799)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a54(799)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a54(799)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(799)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(799)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(799)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_chan_act_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a54(800)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a54(800)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a54(800)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(800)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(800)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(800)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_chan_act_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a54(801)@535fab38cf48: setverdict(pass): none -> pass TC_chan_act_a54(801)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'EC72030FF25154DA893B7D63D60CDE5D549284B4'O, padding := ''O } } TC_chan_act_a54(801)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(801)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(801)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(801)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a54-RSL(796)@535fab38cf48: Final verdict of PTC: none TC_chan_act_a54-RSL-IPA(795)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(797)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a54-RSL-IPA(795): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a54-RSL(796): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(797): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a54(798): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a54(799): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a54(800): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_chan_act_a54(801): pass (pass -> pass) MTC@535fab38cf48: Test case TC_chan_act_a54 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass'. Sun Oct 20 06:57:46 UTC 2024 ====== BTS_Tests.TC_chan_act_a54 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chan_act_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3174227) Waiting for packet dumper to finish... 1 (prev_count=3174227, count=3174724) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51'. ------ BTS_Tests.TC_encr_cmd_a51 ------ Sun Oct 20 06:57:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a51.pcap" >/data/BTS_Tests.TC_encr_cmd_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_encr_cmd_a51 started. TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(803)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(803)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(803)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(803)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL(803)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(803)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a51-RSL(803)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(803)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(803)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(803)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_encr_cmd_a51-RSL(803)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(803)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(803)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_encr_cmd_a51-RSL(803)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(803)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(803)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_encr_cmd_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a51(805)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a51(805)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(805)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(805)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(805)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_encr_cmd_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a51(806)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a51(806)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(806)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(806)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(806)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(806)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(806)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(806)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(806)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(806)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(806)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(806)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(806)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(806)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(806)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(806)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a51(807)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a51(807)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(807)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(807)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(807)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(807)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(807)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(807)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(807)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(807)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(807)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(807)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(807)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(807)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(807)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a51(808)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a51(808)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(808)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(808)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(808)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(808)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(808)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(808)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(808)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(808)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(808)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(808)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(808)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(808)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(808)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(808)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(808)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(808)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a51-RSL(803)@535fab38cf48: Final verdict of PTC: none TC_encr_cmd_a51-RSL-IPA(802)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(804)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a51-RSL-IPA(802): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a51-RSL(803): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(804): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a51(805): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a51(806): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a51(807): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a51(808): pass (pass -> pass) MTC@535fab38cf48: Test case TC_encr_cmd_a51 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass'. Sun Oct 20 06:57:56 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a51 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_encr_cmd_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5306190) Waiting for packet dumper to finish... 1 (prev_count=5306190, count=5306687) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52'. ------ BTS_Tests.TC_encr_cmd_a52 ------ Sun Oct 20 06:57:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a52.pcap" >/data/BTS_Tests.TC_encr_cmd_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_encr_cmd_a52 started. TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(810)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(810)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(810)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(810)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(810)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(810)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_encr_cmd_a52-RSL(810)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(810)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL(810)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(810)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL(810)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(810)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(810)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_encr_cmd_a52-RSL(810)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(810)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(810)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_encr_cmd_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a52(812)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a52(812)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(812)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(812)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(812)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_encr_cmd_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a52(813)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a52(813)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(813)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(813)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(813)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(813)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(813)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(813)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(813)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(813)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(813)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(813)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a52(814)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a52(814)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(814)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(814)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(814)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(814)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(814)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(814)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(814)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(814)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(814)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(814)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(814)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(814)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(814)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a52(815)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a52(815)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(815)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(815)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(815)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(815)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(815)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(815)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(815)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(815)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(815)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(815)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(815)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(815)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(815)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(815)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(815)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(815)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a52-RSL(810)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(811)@535fab38cf48: Final verdict of PTC: none TC_encr_cmd_a52-RSL-IPA(809)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a52-RSL-IPA(809): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a52-RSL(810): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(811): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a52(812): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a52(813): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a52(814): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a52(815): pass (pass -> pass) MTC@535fab38cf48: Test case TC_encr_cmd_a52 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass'. Sun Oct 20 06:58:05 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a52 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_encr_cmd_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5301989) Waiting for packet dumper to finish... 1 (prev_count=5301989, count=5302486) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53'. ------ BTS_Tests.TC_encr_cmd_a53 ------ Sun Oct 20 06:58:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a53.pcap" >/data/BTS_Tests.TC_encr_cmd_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_encr_cmd_a53 started. TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_encr_cmd_a53-RSL(817)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(817)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(817)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(817)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(817)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(817)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_encr_cmd_a53-RSL(817)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(817)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL(817)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(817)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(817)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL(817)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(817)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_encr_cmd_a53-RSL(817)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(817)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(817)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_encr_cmd_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a53(819)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a53(819)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(819)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(819)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(819)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_encr_cmd_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a53(820)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a53(820)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(820)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(820)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(820)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(820)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(820)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(820)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(820)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(820)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(820)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(820)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(820)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(820)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(820)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(820)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(820)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a53(821)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a53(821)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(821)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(821)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(821)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(821)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(821)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(821)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(821)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(821)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(821)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(821)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(821)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(821)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(821)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a53(822)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a53(822)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(822)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(822)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(822)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(822)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(822)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(822)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(822)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(822)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(822)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(822)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(822)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(822)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(822)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(822)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(822)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(822)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a53-RSL(817)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(818)@535fab38cf48: Final verdict of PTC: none TC_encr_cmd_a53-RSL-IPA(816)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a53-RSL-IPA(816): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a53-RSL(817): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(818): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a53(819): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a53(820): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a53(821): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a53(822): pass (pass -> pass) MTC@535fab38cf48: Test case TC_encr_cmd_a53 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass'. Sun Oct 20 06:58:15 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a53 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_encr_cmd_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5300827) Waiting for packet dumper to finish... 1 (prev_count=5300827, count=5301324) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54'. ------ BTS_Tests.TC_encr_cmd_a54 ------ Sun Oct 20 06:58:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a54.pcap" >/data/BTS_Tests.TC_encr_cmd_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_encr_cmd_a54 started. TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(824)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(824)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(824)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(824)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(824)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(824)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a54-RSL(824)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(824)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL(824)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(824)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_encr_cmd_a54-RSL(824)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(824)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(824)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_encr_cmd_a54-RSL(824)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(824)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(824)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_encr_cmd_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a54(826)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a54(826)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(826)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(826)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(826)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_encr_cmd_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a54(827)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a54(827)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(827)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(827)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(827)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(827)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(827)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(827)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(827)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(827)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(827)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(827)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(827)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(827)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(827)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(827)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(827)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a54(828)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a54(828)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(828)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(828)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(828)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(828)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(828)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(828)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(828)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(828)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(828)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(828)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(828)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(828)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(828)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a54(829)@535fab38cf48: setverdict(pass): none -> pass TC_encr_cmd_a54(829)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(829)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(829)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(829)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(829)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(829)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(829)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(829)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(829)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(829)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(829)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(829)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(829)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(829)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '5D549284B4EBC34DC5D68644658FCF'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(829)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(829)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(829)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a54-RSL(824)@535fab38cf48: Final verdict of PTC: none TC_encr_cmd_a54-RSL-IPA(823)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(825)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a54-RSL-IPA(823): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a54-RSL(824): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(825): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a54(826): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a54(827): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a54(828): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_encr_cmd_a54(829): pass (pass -> pass) MTC@535fab38cf48: Test case TC_encr_cmd_a54 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass'. Sun Oct 20 06:58:25 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a54 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_encr_cmd_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5308154) Waiting for packet dumper to finish... 1 (prev_count=5308154, count=5308779) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc'. ------ BTS_Tests.TC_err_rep_wrong_mdisc ------ Sun Oct 20 06:58:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap" >/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_err_rep_wrong_mdisc started. TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc(833)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":5093 TC_err_rep_wrong_mdisc-RSL(831)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(832)@535fab38cf48: Final verdict of PTC: none TC_err_rep_wrong_mdisc-RSL-IPA(830)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL-IPA(830): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL(831): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(832): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_err_rep_wrong_mdisc(833): none (pass -> pass) MTC@535fab38cf48: Test case TC_err_rep_wrong_mdisc finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass'. Sun Oct 20 06:58:30 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_mdisc pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_err_rep_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1950166) Waiting for packet dumper to finish... 1 (prev_count=1950166, count=1950663) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type'. ------ BTS_Tests.TC_err_rep_wrong_msg_type ------ Sun Oct 20 06:58:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap" >/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_err_rep_wrong_msg_type started. TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(837)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(838)@535fab38cf48: setverdict(pass): none -> pass TC_err_rep_wrong_msg_type(838)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type-RSL(835)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(836)@535fab38cf48: Final verdict of PTC: none TC_err_rep_wrong_msg_type-RSL-IPA(834)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL-IPA(834): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL(835): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(836): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_err_rep_wrong_msg_type(837): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_err_rep_wrong_msg_type(838): pass (pass -> pass) MTC@535fab38cf48: Test case TC_err_rep_wrong_msg_type finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass'. Sun Oct 20 06:58:36 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_msg_type pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_err_rep_wrong_msg_type.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1973419) Waiting for packet dumper to finish... 1 (prev_count=1973419, count=1973916) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence'. ------ BTS_Tests.TC_err_rep_wrong_sequence ------ Sun Oct 20 06:58:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap" >/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_err_rep_wrong_sequence started. TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence(842)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: setverdict(pass): none -> pass TC_err_rep_wrong_sequence-RSL-IPA(839)@535fab38cf48: Final verdict of PTC: none TC_err_rep_wrong_sequence-RSL(840)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(841)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_err_rep_wrong_sequence-RSL-IPA(839): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_err_rep_wrong_sequence-RSL(840): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(841): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_err_rep_wrong_sequence(842): none (pass -> pass) MTC@535fab38cf48: Test case TC_err_rep_wrong_sequence finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass'. Sun Oct 20 06:58:41 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_sequence pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_err_rep_wrong_sequence.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1948234) Waiting for packet dumper to finish... 1 (prev_count=1948234, count=1949168) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest'. ------ BTS_Tests.TC_lapdm_selftest ------ Sun Oct 20 06:58:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_lapdm_selftest.pcap" >/data/BTS_Tests.TC_lapdm_selftest.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_lapdm_selftest started. MTC@535fab38cf48: "ui_s0_empty": matched MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: "ui_s3_empty": matched MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: "sabm_s0_empty": matched MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: "sabm_s0_l3": matched MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: "rr_s0_7": matched MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: "I/0/0": matched MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: "I/7/0": matched MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: No PTCs were created. MTC@535fab38cf48: Test case TC_lapdm_selftest finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass'. Sun Oct 20 06:58:46 UTC 2024 ====== BTS_Tests.TC_lapdm_selftest pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_lapdm_selftest.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=992147) Waiting for packet dumper to finish... 1 (prev_count=992147, count=1102050) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame ------ Sun Oct 20 06:58:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_tch_sign_l2_fill_frame started. TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame(846)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(846)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(846)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(846)@535fab38cf48: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(847)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(847)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(847)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(847)@535fab38cf48: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(848)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(848)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(848)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(848)@535fab38cf48: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(849)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(849)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(849)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(849)@535fab38cf48: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(850)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(850)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(850)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(850)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_tch_sign_l2_fill_frame(851)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(851)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(851)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(851)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(852)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(852)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(852)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(852)@535fab38cf48: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(853)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(853)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(853)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(853)@535fab38cf48: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(854)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(854)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(854)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(854)@535fab38cf48: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(855)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(855)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(855)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(855)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(856)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(856)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(856)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(856)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(857)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(857)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(857)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(857)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(858)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(858)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(858)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(858)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(859)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(859)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(859)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(859)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(860)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(860)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(860)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(860)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(861)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(861)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(861)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(861)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(862)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(862)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(863)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(863)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(863)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(863)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(864)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(864)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(864)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(864)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(865)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(865)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(865)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(865)@535fab38cf48: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame-RSL(844)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(845)@535fab38cf48: Final verdict of PTC: none TC_tch_sign_l2_fill_frame-RSL-IPA(843)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL-IPA(843): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL(844): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(845): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(846): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(847): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(848): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(849): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(850): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(851): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(852): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(853): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(854): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(855): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(856): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(857): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(858): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(859): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(860): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(861): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(862): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(863): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(864): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame(865): pass (pass -> pass) MTC@535fab38cf48: Test case TC_tch_sign_l2_fill_frame finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass'. Sun Oct 20 06:59:08 UTC 2024 ====== BTS_Tests.TC_tch_sign_l2_fill_frame pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16001933) Waiting for packet dumper to finish... 1 (prev_count=16001933, count=16002430) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd ------ Sun Oct 20 06:59:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_tch_sign_l2_fill_frame_dtxd started. TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd(869)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(869)@535fab38cf48: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)", new component reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(869)@535fab38cf48: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(870)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(870)@535fab38cf48: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)", new component reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(870)@535fab38cf48: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(871)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(871)@535fab38cf48: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)", new component reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(871)@535fab38cf48: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(872)@535fab38cf48: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(872)@535fab38cf48: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)", new component reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd(872)@535fab38cf48: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd-RSL(867)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(868)@535fab38cf48: Final verdict of PTC: none TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(866): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL(867): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(868): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(869): fail (none -> fail) reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(870): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(871): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" MTC@535fab38cf48: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(872): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" MTC@535fab38cf48: Test case TC_tch_sign_l2_fill_frame_dtxd finished. Verdict: fail reason: Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail'. Sun Oct 20 06:59:15 UTC 2024 ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2946222) Waiting for packet dumper to finish... 1 (prev_count=2946222, count=2946719) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping'. ------ BTS_Tests.TC_chopped_ipa_ping ------ Sun Oct 20 06:59:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_ping.pcap" >/data/BTS_Tests.TC_chopped_ipa_ping.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_chopped_ipa_ping started. 873@535fab38cf48: sending byte '00'O 873@535fab38cf48: sending byte '01'O 873@535fab38cf48: sending byte 'FE'O 873@535fab38cf48: sending byte '00'O 873@535fab38cf48: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 873@535fab38cf48: received pong from "172.18.32.20" port 39049: '0001FE01'O 873@535fab38cf48: setverdict(pass): none -> pass 873@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC with component reference 873: pass (none -> pass) MTC@535fab38cf48: Test case TC_chopped_ipa_ping finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass'. Sun Oct 20 06:59:44 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_ping pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chopped_ipa_ping.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11828744) Waiting for packet dumper to finish... 1 (prev_count=11828744, count=11829241) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload'. ------ BTS_Tests.TC_chopped_ipa_payload ------ Sun Oct 20 06:59:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_payload.pcap" >/data/BTS_Tests.TC_chopped_ipa_payload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_chopped_ipa_payload started. 874@535fab38cf48: sending byte '04'O 874@535fab38cf48: sending byte '01'O 874@535fab38cf48: sending byte '08'O ("\b") 874@535fab38cf48: sending byte '01'O 874@535fab38cf48: sending byte '07'O ("\a") 874@535fab38cf48: sending byte '01'O 874@535fab38cf48: sending byte '02'O 874@535fab38cf48: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 874@535fab38cf48: received IPA message from "172.18.32.20" port 36069: '0026FE05000A08313233342F302F300000130730323A34323A61633A31323A32303A313400000202000001FE06'O 874@535fab38cf48: setverdict(pass): none -> pass 874@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC with component reference 874: pass (none -> pass) MTC@535fab38cf48: Test case TC_chopped_ipa_payload finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass'. Sun Oct 20 07:00:31 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_payload pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_chopped_ipa_payload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20268543) Waiting for packet dumper to finish... 1 (prev_count=20268543, count=20269477) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant'. ------ BTS_Tests.TC_ms_pwr_ctrl_constant ------ Sun Oct 20 07:00:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_ms_pwr_ctrl_constant started. TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: TC_ms_pwr_ctrl_constant: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_constant(878)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(878)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@535fab38cf48: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@535fab38cf48: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(878)@535fab38cf48: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@535fab38cf48: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@535fab38cf48: TC_ms_pwr_ctrl_constant: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_constant(879)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(879)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@535fab38cf48: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@535fab38cf48: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(879)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(879)@535fab38cf48: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(879)@535fab38cf48: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@535fab38cf48: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_constant(880)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(880)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@535fab38cf48: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@535fab38cf48: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(880)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(880)@535fab38cf48: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(880)@535fab38cf48: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@535fab38cf48: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_constant(881)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(881)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@535fab38cf48: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(881)@535fab38cf48: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(881)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_constant(881)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8193 TC_ms_pwr_ctrl_constant-RSL(876)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(877)@535fab38cf48: Final verdict of PTC: none TC_ms_pwr_ctrl_constant-RSL-IPA(875)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL-IPA(875): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL(876): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(877): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ms_pwr_ctrl_constant(878): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@535fab38cf48: Local verdict of PTC TC_ms_pwr_ctrl_constant(879): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@535fab38cf48: Local verdict of PTC TC_ms_pwr_ctrl_constant(880): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@535fab38cf48: Local verdict of PTC TC_ms_pwr_ctrl_constant(881): pass (fail -> fail) MTC@535fab38cf48: Test case TC_ms_pwr_ctrl_constant finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail'. Sun Oct 20 07:00:52 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_constant fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_constant.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15664395) Waiting for packet dumper to finish... 1 (prev_count=15664395, count=15669568) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma'. ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma ------ Sun Oct 20 07:00:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_ms_pwr_ctrl_pf_ewma started. TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@535fab38cf48: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@535fab38cf48: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(886)@535fab38cf48: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@535fab38cf48: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(887)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(888)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8260 TC_ms_pwr_ctrl_pf_ewma-RSL(883)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(884)@535fab38cf48: Final verdict of PTC: none TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(882): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL(883): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(884): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(885): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@535fab38cf48: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(886): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@535fab38cf48: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(887): pass (fail -> fail) MTC@535fab38cf48: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(888): pass (fail -> fail) MTC@535fab38cf48: Test case TC_ms_pwr_ctrl_pf_ewma finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail'. Sun Oct 20 07:01:28 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=29023873) Waiting for packet dumper to finish... 1 (prev_count=29023873, count=29024370) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf'. ------ BTS_Tests.TC_speech_no_rtp_tchf ------ Sun Oct 20 07:01:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_speech_no_rtp_tchf started. TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(892)@535fab38cf48: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(892)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(892)@535fab38cf48: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(892)@535fab38cf48: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(892)@535fab38cf48: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(892)@535fab38cf48: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(892)@535fab38cf48: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(892)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(892)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(892)@535fab38cf48: Final verdict of PTC: pass TC_speech_no_rtp_tchf(893)@535fab38cf48: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(893)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(893)@535fab38cf48: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(893)@535fab38cf48: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(893)@535fab38cf48: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(893)@535fab38cf48: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_speech_no_rtp_tchf(893)@535fab38cf48: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_speech_no_rtp_tchf(893)@535fab38cf48: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_speech_no_rtp_tchf(893)@535fab38cf48: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(893)@535fab38cf48: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(893)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(893)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(893)@535fab38cf48: Final verdict of PTC: pass TC_speech_no_rtp_tchf(894)@535fab38cf48: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(894)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchf(894)@535fab38cf48: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1495, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(894)@535fab38cf48: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1499, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(894)@535fab38cf48: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1503, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(894)@535fab38cf48: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1508, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(894)@535fab38cf48: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1512, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(894)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(894)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(894)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8332 TC_speech_no_rtp_tchf-RSL(890)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(891)@535fab38cf48: Final verdict of PTC: none TC_speech_no_rtp_tchf-RSL-IPA(889)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_speech_no_rtp_tchf-RSL-IPA(889): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_speech_no_rtp_tchf-RSL(890): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(891): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_speech_no_rtp_tchf(892): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_no_rtp_tchf(893): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_no_rtp_tchf(894): pass (pass -> pass) MTC@535fab38cf48: Test case TC_speech_no_rtp_tchf finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass'. Sun Oct 20 07:01:40 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchf pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_speech_no_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9650319) Waiting for packet dumper to finish... 1 (prev_count=9650319, count=9650816) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh'. ------ BTS_Tests.TC_speech_no_rtp_tchh ------ Sun Oct 20 07:01:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_speech_no_rtp_tchh started. TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_no_rtp_tchh(898)@535fab38cf48: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(898)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(898)@535fab38cf48: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(898)@535fab38cf48: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(898)@535fab38cf48: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(898)@535fab38cf48: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(898)@535fab38cf48: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(898)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(898)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(898)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_no_rtp_tchh(899)@535fab38cf48: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(899)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchh(899)@535fab38cf48: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(899)@535fab38cf48: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(899)@535fab38cf48: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(899)@535fab38cf48: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(899)@535fab38cf48: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1044, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(899)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(899)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(899)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8351 TC_speech_no_rtp_tchh-RSL(896)@535fab38cf48: Final verdict of PTC: none TC_speech_no_rtp_tchh-RSL-IPA(895)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(897)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_speech_no_rtp_tchh-RSL-IPA(895): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_speech_no_rtp_tchh-RSL(896): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(897): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_speech_no_rtp_tchh(898): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_no_rtp_tchh(899): pass (pass -> pass) MTC@535fab38cf48: Test case TC_speech_no_rtp_tchh finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass'. Sun Oct 20 07:01:50 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_speech_no_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5560414) Waiting for packet dumper to finish... 1 (prev_count=5560414, count=5560911) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf'. ------ BTS_Tests.TC_speech_rtp_tchf ------ Sun Oct 20 07:01:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_speech_rtp_tchf started. TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(901)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(901)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(901)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_rtp_tchf-RSL(901)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 transceiver(s) connected TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(903)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchf(903)@535fab38cf48: setverdict(pass): none -> pass TC_speech_rtp_tchf(903)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(903)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(903)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(903)@535fab38cf48: TCH received (len=33): 'D0EC72030FF251FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(903)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(903)@535fab38cf48: TCH received (len=33): 'D0EC72030FF251FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(903)@535fab38cf48: TCH received (len=33): 'D0EC72030FF251FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(903)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(903)@535fab38cf48: Final verdict of PTC: pass TC_speech_rtp_tchf(905)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM2 (17) } } TC_speech_rtp_tchf(905)@535fab38cf48: setverdict(pass): none -> pass TC_speech_rtp_tchf(905)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(905)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(905)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(905)@535fab38cf48: TCH received (len=31): 'C0EC72030FF251000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(905)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(905)@535fab38cf48: TCH received (len=31): 'C0EC72030FF251000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(905)@535fab38cf48: TCH received (len=31): 'C0EC72030FF251000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(905)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(905)@535fab38cf48: Final verdict of PTC: pass TC_speech_rtp_tchf(907)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchf(907)@535fab38cf48: setverdict(pass): none -> pass TC_speech_rtp_tchf(907)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchf(907)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(907)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(907)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_rtp_tchf(907)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(907)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_rtp_tchf(907)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_rtp_tchf(907)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(907)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8472 TC_speech_rtp_tchf-RSL(901)@535fab38cf48: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(904)@535fab38cf48: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(908)@535fab38cf48: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(906)@535fab38cf48: Final verdict of PTC: none TC_speech_rtp_tchf-RSL-IPA(900)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(902)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchf-RSL-IPA(900): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchf-RSL(901): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(902): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchf(903): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(904): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchf(905): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(906): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchf(907): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(908): none (pass -> pass) MTC@535fab38cf48: Test case TC_speech_rtp_tchf finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass'. Sun Oct 20 07:02:02 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchf pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_speech_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9513430) Waiting for packet dumper to finish... 1 (prev_count=9513430, count=9519040) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh'. ------ BTS_Tests.TC_speech_rtp_tchh ------ Sun Oct 20 07:02:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_speech_rtp_tchh started. TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchh-RSL(910)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(910)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(910)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: Established a new IPA connection (conn_id=5) MTC@535fab38cf48: 1/4 transceiver(s) connected TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(910)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_rtp_tchh(912)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchh(912)@535fab38cf48: setverdict(pass): none -> pass TC_speech_rtp_tchh(912)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(912)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(912)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(912)@535fab38cf48: TCH received (len=15): '00EC72030FF2510000000000000000'O TC_speech_rtp_tchh(912)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(912)@535fab38cf48: TCH received (len=15): '00EC72030FF2510000000000000000'O TC_speech_rtp_tchh(912)@535fab38cf48: TCH received (len=15): '00EC72030FF2510000000000000000'O TC_speech_rtp_tchh(912)@535fab38cf48: TCH received (len=15): '00EC72030FF2510000000000000000'O TC_speech_rtp_tchh(912)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(912)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_rtp_tchh(914)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchh(914)@535fab38cf48: setverdict(pass): none -> pass TC_speech_rtp_tchh(914)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchh(914)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(914)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(914)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_rtp_tchh(914)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(914)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_rtp_tchh(914)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_rtp_tchh(914)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_rtp_tchh(914)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(914)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8491 TC_speech_rtp_tchh-RSL(910)@535fab38cf48: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(913)@535fab38cf48: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(915)@535fab38cf48: Final verdict of PTC: none TC_speech_rtp_tchh-RSL-IPA(909)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(911)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchh-RSL-IPA(909): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchh-RSL(910): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(911): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchh(912): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(913): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchh(914): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(915): none (pass -> pass) MTC@535fab38cf48: Test case TC_speech_rtp_tchh finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass'. Sun Oct 20 07:02:12 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_speech_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5541340) Waiting for packet dumper to finish... 1 (prev_count=5541340, count=5546950) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf'. ------ BTS_Tests.TC_speech_osmux_tchf ------ Sun Oct 20 07:02:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchf.pcap" >/data/BTS_Tests.TC_speech_osmux_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_speech_osmux_tchf started. TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(917)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(917)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(917)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(917)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf(919)@535fab38cf48: setverdict(pass): none -> pass TC_speech_osmux_tchf(919)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchf(919)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(919)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(919)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchf(919)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(919)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchf(919)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchf(919)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchf(919)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchf(919)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchf(919)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchf(919)@535fab38cf48: got 'EC72030FF251000000000000000000'O vs exp 'EC72030FF251000000000000000000'O TC_speech_osmux_tchf(919)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(919)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8593 TC_speech_osmux_tchf-RSL(917)@535fab38cf48: Final verdict of PTC: none TC_speech_osmux_tchf-OsmuxEM(920)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(918)@535fab38cf48: Final verdict of PTC: none TC_speech_osmux_tchf-RSL-IPA(916)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_speech_osmux_tchf-RSL-IPA(916): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_speech_osmux_tchf-RSL(917): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(918): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_speech_osmux_tchf(919): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_osmux_tchf-OsmuxEM(920): none (pass -> pass) MTC@535fab38cf48: Test case TC_speech_osmux_tchf finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass'. Sun Oct 20 07:02:20 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchf pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_speech_osmux_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4544206) Waiting for packet dumper to finish... 1 (prev_count=4544206, count=4544703) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh'. ------ BTS_Tests.TC_speech_osmux_tchh ------ Sun Oct 20 07:02:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchh.pcap" >/data/BTS_Tests.TC_speech_osmux_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_speech_osmux_tchh started. TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(922)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(922)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(922)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(922)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_osmux_tchh(924)@535fab38cf48: setverdict(pass): none -> pass TC_speech_osmux_tchh(924)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchh(924)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(924)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(924)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchh(924)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(924)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchh(924)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchh(924)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchh(924)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchh(924)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchh(924)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchh(924)@535fab38cf48: TCH received (len=17): '2014EC72030FF251000000000000000000'O TC_speech_osmux_tchh(924)@535fab38cf48: got 'EC72030FF251000000000000000000'O vs exp 'EC72030FF251000000000000000000'O TC_speech_osmux_tchh(924)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(924)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8607 TC_speech_osmux_tchh-RSL(922)@535fab38cf48: Final verdict of PTC: none TC_speech_osmux_tchh-OsmuxEM(925)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(923)@535fab38cf48: Final verdict of PTC: none TC_speech_osmux_tchh-RSL-IPA(921)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_speech_osmux_tchh-RSL-IPA(921): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_speech_osmux_tchh-RSL(922): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(923): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_speech_osmux_tchh(924): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_speech_osmux_tchh-OsmuxEM(925): none (pass -> pass) MTC@535fab38cf48: Test case TC_speech_osmux_tchh finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass'. Sun Oct 20 07:02:27 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_speech_osmux_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3773431) Waiting for packet dumper to finish... 1 (prev_count=3773431, count=3778604) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144'. ------ BTS_Tests.TC_data_rtp_tchf144 ------ Sun Oct 20 07:02:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf144.pcap" >/data/BTS_Tests.TC_data_rtp_tchf144.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_data_rtp_tchf144 started. TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(927)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(927)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(927)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(927)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144(929)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_14k4 (24) } } TC_data_rtp_tchf144(929)@535fab38cf48: setverdict(pass): none -> pass TC_data_rtp_tchf144(929)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf144-RTPEM(930)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf144(929)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144(929)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@535fab38cf48: Test Component 929 has requested to stop MTC. Terminating current testcase execution. TC_data_rtp_tchf144-RSL(927)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(928)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchf144(929)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144-RSL-IPA(926)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchf144-RTPEM(930)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf144-RSL-IPA(926): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf144-RSL(927): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(928): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf144(929): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf144-RTPEM(930): none (fail -> fail) MTC@535fab38cf48: Test case TC_data_rtp_tchf144 finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail'. Sun Oct 20 07:02:33 UTC 2024 ------ BTS_Tests.TC_data_rtp_tchf144 fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_data_rtp_tchf144.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2002699) Waiting for packet dumper to finish... 1 (prev_count=2002699, count=2003196) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96'. ------ BTS_Tests.TC_data_rtp_tchf96 ------ Sun Oct 20 07:02:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf96.pcap" >/data/BTS_Tests.TC_data_rtp_tchf96.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_data_rtp_tchf96 started. TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(932)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(932)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(932)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL(932)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(934)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_9k6 (16) } } TC_data_rtp_tchf96(934)@535fab38cf48: setverdict(pass): none -> pass TC_data_rtp_tchf96(934)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(935)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(934)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(934)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(934)@535fab38cf48: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(934)@535fab38cf48: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(934)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(935)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(934)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(934)@535fab38cf48: Final verdict of PTC: pass TC_data_rtp_tchf96(936)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_12k0 (80) } } TC_data_rtp_tchf96(936)@535fab38cf48: setverdict(pass): none -> pass TC_data_rtp_tchf96(936)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(937)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(936)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(936)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(936)@535fab38cf48: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(936)@535fab38cf48: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(936)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(937)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(936)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(936)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8728 TC_data_rtp_tchf96-RTPEM(935)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchf96-RSL(932)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchf96-RSL-IPA(931)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(933)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(937)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf96-RSL-IPA(931): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf96-RSL(932): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(933): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf96(934): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(935): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf96(936): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(937): none (pass -> pass) MTC@535fab38cf48: Test case TC_data_rtp_tchf96 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass'. Sun Oct 20 07:02:39 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf96 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_data_rtp_tchf96.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2932372) Waiting for packet dumper to finish... 1 (prev_count=2932372, count=2932869) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48'. ------ BTS_Tests.TC_data_rtp_tchf48 ------ Sun Oct 20 07:02:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf48.pcap" >/data/BTS_Tests.TC_data_rtp_tchf48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_data_rtp_tchf48 started. TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf48-RSL(939)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(939)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(939)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(939)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(941)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchf48(941)@535fab38cf48: setverdict(pass): none -> pass TC_data_rtp_tchf48(941)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(942)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(941)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(941)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(941)@535fab38cf48: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(941)@535fab38cf48: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(941)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(942)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(941)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(941)@535fab38cf48: Final verdict of PTC: pass TC_data_rtp_tchf48(943)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchf48(943)@535fab38cf48: setverdict(pass): none -> pass TC_data_rtp_tchf48(943)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(944)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(943)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(943)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(943)@535fab38cf48: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(943)@535fab38cf48: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(943)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(944)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(943)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(943)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8749 TC_data_rtp_tchf48-RTPEM(944)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchf48-RSL(939)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(942)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchf48-RSL-IPA(938)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(940)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf48-RSL-IPA(938): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf48-RSL(939): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(940): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf48(941): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(942): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf48(943): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(944): none (pass -> pass) MTC@535fab38cf48: Test case TC_data_rtp_tchf48 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass'. Sun Oct 20 07:02:46 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf48 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_data_rtp_tchf48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2922519) Waiting for packet dumper to finish... 1 (prev_count=2922519, count=2923016) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48'. ------ BTS_Tests.TC_data_rtp_tchh48 ------ Sun Oct 20 07:02:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh48.pcap" >/data/BTS_Tests.TC_data_rtp_tchh48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_data_rtp_tchh48 started. TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh48-RSL(946)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(946)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(946)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: Established a new IPA connection (conn_id=5) MTC@535fab38cf48: 1/4 transceiver(s) connected TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(946)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh48(948)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchh48(948)@535fab38cf48: setverdict(pass): none -> pass TC_data_rtp_tchh48(948)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(949)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(948)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(948)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(948)@535fab38cf48: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(948)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(948)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(948)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(948)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(948)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(948)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(948)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(948)@535fab38cf48: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(948)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(949)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(948)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(948)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh48(950)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchh48(950)@535fab38cf48: setverdict(pass): none -> pass TC_data_rtp_tchh48(950)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(951)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(950)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(950)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(950)@535fab38cf48: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(950)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(950)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(950)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(950)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(950)@535fab38cf48: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(950)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(950)@535fab38cf48: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh48(950)@535fab38cf48: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(950)@535fab38cf48: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(950)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(951)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(950)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(950)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8770 TC_data_rtp_tchh48-RSL(946)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(947)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(949)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(951)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchh48-RSL-IPA(945)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchh48-RSL-IPA(945): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchh48-RSL(946): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(947): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchh48(948): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(949): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchh48(950): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(951): none (pass -> pass) MTC@535fab38cf48: Test case TC_data_rtp_tchh48 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass'. Sun Oct 20 07:02:53 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh48 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_data_rtp_tchh48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2889859) Waiting for packet dumper to finish... 1 (prev_count=2889859, count=2890356) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24'. ------ BTS_Tests.TC_data_rtp_tchf24 ------ Sun Oct 20 07:02:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf24.pcap" >/data/BTS_Tests.TC_data_rtp_tchf24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_data_rtp_tchf24 started. TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(953)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(953)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(953)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(953)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(955)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchf24(955)@535fab38cf48: setverdict(pass): none -> pass TC_data_rtp_tchf24(955)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(956)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(955)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(955)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(955)@535fab38cf48: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(955)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(955)@535fab38cf48: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(955)@535fab38cf48: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(955)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(956)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(955)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(955)@535fab38cf48: Final verdict of PTC: pass TC_data_rtp_tchf24(957)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchf24(957)@535fab38cf48: setverdict(pass): none -> pass TC_data_rtp_tchf24(957)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(958)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(957)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(957)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(957)@535fab38cf48: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(957)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@535fab38cf48: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(957)@535fab38cf48: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(957)@535fab38cf48: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(957)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(958)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(957)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(957)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8790 TC_data_rtp_tchf24-RSL(953)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(954)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchf24-RSL-IPA(952)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(958)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(956)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf24-RSL-IPA(952): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf24-RSL(953): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(954): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf24(955): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(956): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf24(957): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(958): none (pass -> pass) MTC@535fab38cf48: Test case TC_data_rtp_tchf24 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass'. Sun Oct 20 07:02:59 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf24 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_data_rtp_tchf24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2596333) Waiting for packet dumper to finish... 1 (prev_count=2596333, count=2596830) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24'. ------ BTS_Tests.TC_data_rtp_tchh24 ------ Sun Oct 20 07:03:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh24.pcap" >/data/BTS_Tests.TC_data_rtp_tchh24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_data_rtp_tchh24 started. TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(960)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(960)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(960)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(960)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 transceiver(s) connected TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh24(962)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchh24(962)@535fab38cf48: setverdict(pass): none -> pass TC_data_rtp_tchh24(962)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(963)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(962)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(962)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(962)@535fab38cf48: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(962)@535fab38cf48: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(962)@535fab38cf48: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(962)@535fab38cf48: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(962)@535fab38cf48: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(962)@535fab38cf48: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(962)@535fab38cf48: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(962)@535fab38cf48: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(962)@535fab38cf48: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(962)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(963)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(962)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(962)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh24(964)@535fab38cf48: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchh24(964)@535fab38cf48: setverdict(pass): none -> pass TC_data_rtp_tchh24(964)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(965)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(964)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(964)@535fab38cf48: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(964)@535fab38cf48: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(964)@535fab38cf48: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(964)@535fab38cf48: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(964)@535fab38cf48: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(964)@535fab38cf48: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(964)@535fab38cf48: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(964)@535fab38cf48: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(964)@535fab38cf48: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(964)@535fab38cf48: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(964)@535fab38cf48: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(964)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(965)@535fab38cf48: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(964)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(964)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8810 TC_data_rtp_tchh24-RSL(960)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(961)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchh24-RSL-IPA(959)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(963)@535fab38cf48: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(965)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchh24-RSL-IPA(959): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchh24-RSL(960): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(961): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchh24(962): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(963): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchh24(964): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(965): none (pass -> pass) MTC@535fab38cf48: Test case TC_data_rtp_tchh24 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass'. Sun Oct 20 07:03:06 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh24 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_data_rtp_tchh24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2890249) Waiting for packet dumper to finish... 1 (prev_count=2890249, count=2890746) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment'. ------ BTS_Tests.TC_early_immediate_assignment ------ Sun Oct 20 07:03:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_early_immediate_assignment.pcap" >/data/BTS_Tests.TC_early_immediate_assignment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_early_immediate_assignment started. TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(967)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(967)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(967)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(967)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(967)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(967)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_early_immediate_assignment-RSL(967)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_early_immediate_assignment-RSL(967)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(967)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(967)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_early_immediate_assignment-RSL(967)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(967)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(967)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(967)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL(967)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(967)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment(969)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(969)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(969)@535fab38cf48: Received IMM.ASS for our RACH! TC_early_immediate_assignment(969)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(969)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(969)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(970)@535fab38cf48: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(970)@535fab38cf48: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 0 }, tsc := 7, h := false, arfcn := 873, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 15 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(970)@535fab38cf48: Received IMM.ASS for our RACH! TC_early_immediate_assignment(970)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(970)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(970)@535fab38cf48: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":8891 TC_early_immediate_assignment-RSL(967)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(968)@535fab38cf48: Final verdict of PTC: none TC_early_immediate_assignment-RSL-IPA(966)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_early_immediate_assignment-RSL-IPA(966): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_early_immediate_assignment-RSL(967): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(968): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_early_immediate_assignment(969): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Local verdict of PTC TC_early_immediate_assignment(970): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@535fab38cf48: Test case TC_early_immediate_assignment finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass'. Sun Oct 20 07:03:13 UTC 2024 ====== BTS_Tests.TC_early_immediate_assignment pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_early_immediate_assignment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4093235) Waiting for packet dumper to finish... 1 (prev_count=4093235, count=4093732) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh ------ Sun Oct 20 07:03:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_acch_overpower_rxqual_thresh started. TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: Established a new IPA connection (conn_id=5) MTC@535fab38cf48: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh(974)@535fab38cf48: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh(974)@535fab38cf48: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh(974)@535fab38cf48: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh(974)@535fab38cf48: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(974)@535fab38cf48: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@535fab38cf48: Test Component 974 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_rxqual_thresh-RSL(972)@535fab38cf48: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh(974)@535fab38cf48: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(973)@535fab38cf48: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh-RSL-IPA(971)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL-IPA(971): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL(972): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(973): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_rxqual_thresh(974): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@535fab38cf48: Test case TC_acch_overpower_rxqual_thresh finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh fail'. Sun Oct 20 07:03:28 UTC 2024 ------ BTS_Tests.TC_acch_overpower_rxqual_thresh fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7045262) Waiting for packet dumper to finish... 1 (prev_count=7045262, count=7049045) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx ------ Sun Oct 20 07:03:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_acch_overpower_rxqual_thresh_dtx started. TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx(978)@535fab38cf48: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh_dtx(978)@535fab38cf48: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh_dtx(978)@535fab38cf48: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh_dtx(978)@535fab38cf48: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(978)@535fab38cf48: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@535fab38cf48: Test Component 978 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_rxqual_thresh_dtx-RSL(976)@535fab38cf48: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx(978)@535fab38cf48: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(977)@535fab38cf48: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(975): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL(976): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(977): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx(978): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@535fab38cf48: Test case TC_acch_overpower_rxqual_thresh_dtx finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail'. Sun Oct 20 07:03:43 UTC 2024 ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6967165) Waiting for packet dumper to finish... 1 (prev_count=6967165, count=6967662) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch'. ------ BTS_Tests.TC_acch_overpower_always_on_facch ------ Sun Oct 20 07:03:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_acch_overpower_always_on_facch started. TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch(982)@535fab38cf48: RxLev(BCCH) := 30 TC_acch_overpower_always_on_facch(982)@535fab38cf48: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_facch(982)@535fab38cf48: setverdict(pass): none -> pass TC_acch_overpower_always_on_facch(982)@535fab38cf48: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(982)@535fab38cf48: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@535fab38cf48: Test Component 982 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_always_on_facch-RSL(980)@535fab38cf48: Final verdict of PTC: none TC_acch_overpower_always_on_facch(982)@535fab38cf48: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(981)@535fab38cf48: Final verdict of PTC: none TC_acch_overpower_always_on_facch-RSL-IPA(979)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL-IPA(979): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL(980): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(981): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_always_on_facch(982): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@535fab38cf48: Test case TC_acch_overpower_always_on_facch finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch fail'. Sun Oct 20 07:03:57 UTC 2024 ------ BTS_Tests.TC_acch_overpower_always_on_facch fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_acch_overpower_always_on_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6956120) Waiting for packet dumper to finish... 1 (prev_count=6956120, count=6961293) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch'. ------ BTS_Tests.TC_acch_overpower_always_on_sacch ------ Sun Oct 20 07:04:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_acch_overpower_always_on_sacch started. TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch(986)@535fab38cf48: RxLev(BCCH) := 30 TC_acch_overpower_always_on_sacch(986)@535fab38cf48: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_sacch(986)@535fab38cf48: setverdict(pass): none -> pass TC_acch_overpower_always_on_sacch(986)@535fab38cf48: Executing step[0] := { meas_res := omit, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(986)@535fab38cf48: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@535fab38cf48: Test Component 986 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_always_on_sacch-RSL(984)@535fab38cf48: Final verdict of PTC: none TC_acch_overpower_always_on_sacch(986)@535fab38cf48: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" TC_acch_overpower_always_on_sacch-RSL-IPA(983)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(985)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL-IPA(983): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL(984): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(985): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_always_on_sacch(986): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@535fab38cf48: Test case TC_acch_overpower_always_on_sacch finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch fail'. Sun Oct 20 07:04:12 UTC 2024 ------ BTS_Tests.TC_acch_overpower_always_on_sacch fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_acch_overpower_always_on_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6955571) Waiting for packet dumper to finish... 1 (prev_count=6955571, count=6961181) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit'. ------ BTS_Tests.TC_acch_overpower_limit ------ Sun Oct 20 07:04:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_limit.pcap" >/data/BTS_Tests.TC_acch_overpower_limit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_acch_overpower_limit started. TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(988)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(988)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(988)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(988)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(988)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(988)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_acch_overpower_limit-RSL(988)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(988)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(988)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(988)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_acch_overpower_limit-RSL(988)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(988)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(988)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_acch_overpower_limit-RSL(988)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(988)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(988)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit(990)@535fab38cf48: RxLev(BCCH) := 30 TC_acch_overpower_limit(990)@535fab38cf48: Expected RxLev(DCCH) := 28 TC_acch_overpower_limit(990)@535fab38cf48: setverdict(pass): none -> pass TC_acch_overpower_limit(990)@535fab38cf48: Executing step[0] := { meas_res := omit, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(990)@535fab38cf48: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@535fab38cf48: Test Component 990 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_limit-RSL(988)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(989)@535fab38cf48: Final verdict of PTC: none TC_acch_overpower_limit(990)@535fab38cf48: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" TC_acch_overpower_limit-RSL-IPA(987)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_limit-RSL-IPA(987): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_limit-RSL(988): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(989): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_acch_overpower_limit(990): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@535fab38cf48: Test case TC_acch_overpower_limit finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit fail'. Sun Oct 20 07:04:26 UTC 2024 ------ BTS_Tests.TC_acch_overpower_limit fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests.TC_acch_overpower_limit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6951015) Waiting for packet dumper to finish... 1 (prev_count=6951015, count=6951512) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit fail' was executed successfully (exit status: 0). MC@535fab38cf48: Test execution finished. MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch'. ------ BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch ------ Sun Oct 20 07:04:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap" >/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_cbch_load_idle_no_cbch started. TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":758 TC_cbch_load_idle_no_cbch-RSL(992)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(993)@535fab38cf48: Final verdict of PTC: none TC_cbch_load_idle_no_cbch-RSL-IPA(991)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL-IPA(991): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL(992): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(993): none (pass -> pass) MTC@535fab38cf48: Test case TC_cbch_load_idle_no_cbch finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass'. Sun Oct 20 07:04:42 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6471415) Waiting for packet dumper to finish... 1 (prev_count=6471415, count=6477025) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block ------ Sun Oct 20 07:04:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_1block started. TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: Established a new IPA connection (conn_id=5) MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_1block-RSL(995)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(996)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(994): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL(995): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(996): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_1block finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass'. Sun Oct 20 07:05:01 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10374591) Waiting for packet dumper to finish... 1 (prev_count=10374591, count=10375088) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block ------ Sun Oct 20 07:05:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_2block started. TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_2block-RSL(998)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(999)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(997): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL(998): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(999): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_2block finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass'. Sun Oct 20 07:05:19 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10371775) Waiting for packet dumper to finish... 1 (prev_count=10371775, count=10372272) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block ------ Sun Oct 20 07:05:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_3block started. TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_3block-RSL(1001)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1002)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1000): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL(1001): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1002): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_3block finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass'. Sun Oct 20 07:05:38 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10380010) Waiting for packet dumper to finish... 1 (prev_count=10380010, count=10380507) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block ------ Sun Oct 20 07:05:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_4block started. TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@535fab38cf48: Found block_nr 3 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_4block-RSL(1004)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1005)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1003): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL(1004): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1005): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_4block finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass'. Sun Oct 20 07:05:57 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10379907) Waiting for packet dumper to finish... 1 (prev_count=10379907, count=10385080) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi ------ Sun Oct 20 07:06:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_multi started. TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@535fab38cf48: Found block_nr 3 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 1 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 1 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 1 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@535fab38cf48: Found block_nr 3 of msg 1 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 2 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 2 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 2 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@535fab38cf48: Found block_nr 3 of msg 2 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2531, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2582, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2633, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2684, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2735, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2786, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2837, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2888, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2939, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2990, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3041, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3092, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3143, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_multi-RSL(1007)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1008)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1006): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL(1007): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1008): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_multi finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass'. Sun Oct 20 07:06:21 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15867979) Waiting for packet dumper to finish... 1 (prev_count=15867979, count=15868913) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule ------ Sun Oct 20 07:06:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_schedule started. TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 2/4 RF Resource Indication(s) received MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@535fab38cf48: Found block_nr 3 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_schedule-RSL(1010)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1011)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1009): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL(1010): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1011): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_schedule finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass'. Sun Oct 20 07:06:40 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10378743) Waiting for packet dumper to finish... 1 (prev_count=10378743, count=10379240) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only ------ Sun Oct 20 07:06:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_default_only started. TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:664 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":664 TC_sms_cb_cmd_sdcch4_default_only-RSL(1013)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1014)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1012): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL(1013): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1014): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_default_only finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass'. Sun Oct 20 07:07:01 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12211943) Waiting for packet dumper to finish... 1 (prev_count=12211943, count=12212440) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal ------ Sun Oct 20 07:07:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_default_and_normal started. TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1017)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1015): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1016): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1017): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_default_and_normal finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass'. Sun Oct 20 07:07:22 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13135096) Waiting for packet dumper to finish... 1 (prev_count=13135096, count=13140269) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null ------ Sun Oct 20 07:07:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_default_then_null started. TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3398, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3449, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3500, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3551, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3602, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3653, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3704, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3755, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3806, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3857, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3908, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3959, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4010, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4061, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4112, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4163, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4214, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4265, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4316, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4367, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4418, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4469, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:734 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":734 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1020)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1018): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1019): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1020): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch4_default_then_null finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass'. Sun Oct 20 07:07:53 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21348710) Waiting for packet dumper to finish... 1 (prev_count=21348710, count=21349207) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle ------ Sun Oct 20 07:07:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_cbc_sdcch4_load_idle started. TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch4_load_idle-RSL(1022)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1023)@535fab38cf48: Final verdict of PTC: none TC_cbc_sdcch4_load_idle-RSL-IPA(1021)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL-IPA(1021): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL(1022): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1023): none (pass -> pass) MTC@535fab38cf48: Test case TC_cbc_sdcch4_load_idle finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass'. Sun Oct 20 07:08:14 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7699713) Waiting for packet dumper to finish... 1 (prev_count=7699713, count=7700210) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload ------ Sun Oct 20 07:08:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_cbc_sdcch4_load_overload started. TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: Established a new IPA connection (conn_id=5) MTC@535fab38cf48: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch4_load_overload-RSL(1025)@535fab38cf48: Final verdict of PTC: none TC_cbc_sdcch4_load_overload-RSL-IPA(1024)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1026)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL-IPA(1024): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL(1025): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1026): none (pass -> pass) MTC@535fab38cf48: Test case TC_cbc_sdcch4_load_overload finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass'. Sun Oct 20 07:08:36 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8713167) Waiting for packet dumper to finish... 1 (prev_count=8713167, count=8713664) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block ------ Sun Oct 20 07:08:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_1block started. MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_1block-RSL(1028)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1029)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1027): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL(1028): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1029): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_1block finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass'. Sun Oct 20 07:08:55 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8624537) Waiting for packet dumper to finish... 1 (prev_count=8624537, count=8625034) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block ------ Sun Oct 20 07:08:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_2block started. MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_2block-RSL(1031)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1032)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1030): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL(1031): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1032): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_2block finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass'. Sun Oct 20 07:09:14 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8633187) Waiting for packet dumper to finish... 1 (prev_count=8633187, count=8633684) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block ------ Sun Oct 20 07:09:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_3block started. MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_3block-RSL(1034)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1035)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1033): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL(1034): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1035): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_3block finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass'. Sun Oct 20 07:09:32 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8631312) Waiting for packet dumper to finish... 1 (prev_count=8631312, count=8636485) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block ------ Sun Oct 20 07:09:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_4block started. MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@535fab38cf48: Found block_nr 3 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_4block-RSL(1037)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1038)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1036): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL(1037): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1038): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_4block finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass'. Sun Oct 20 07:09:51 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8630496) Waiting for packet dumper to finish... 1 (prev_count=8630496, count=8630993) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi ------ Sun Oct 20 07:09:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_multi started. MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@535fab38cf48: Found block_nr 3 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 1 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 20, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 1 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 1 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@535fab38cf48: Found block_nr 3 of msg 1 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 2 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 2 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 2 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@535fab38cf48: Found block_nr 3 of msg 2 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2558, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2609, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2660, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2711, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2762, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2813, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2864, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2915, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2966, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3017, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3068, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3119, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_multi-RSL(1040)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1041)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1039): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL(1040): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1041): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_multi finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass'. Sun Oct 20 07:10:16 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12805788) Waiting for packet dumper to finish... 1 (prev_count=12805788, count=12806285) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule ------ Sun Oct 20 07:10:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_schedule started. MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@535fab38cf48: Found block_nr 3 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_schedule-RSL(1043)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1044)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1042): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL(1043): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1044): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_schedule finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass'. Sun Oct 20 07:10:34 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8622186) Waiting for packet dumper to finish... 1 (prev_count=8622186, count=8622683) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only ------ Sun Oct 20 07:10:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_default_only started. TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:673 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":673 TC_sms_cb_cmd_sdcch8_default_only-RSL(1046)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1047)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1045): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL(1046): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1047): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_default_only finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass'. Sun Oct 20 07:10:55 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12215800) Waiting for packet dumper to finish... 1 (prev_count=12215800, count=12216297) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal ------ Sun Oct 20 07:10:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_default_and_normal started. MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@535fab38cf48: Found block_nr 0 of msg 0 MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@535fab38cf48: Found block_nr 1 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@535fab38cf48: Found block_nr 2 of msg 0 MTC@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 2 of DEFAULT/NULL MTC@535fab38cf48: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 3 of DEFAULT/NULL MTC@535fab38cf48: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@535fab38cf48: Found block_nr 0 of DEFAULT/NULL MTC@535fab38cf48: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@535fab38cf48: Found block_nr 1 of DEFAULT/NULL MTC@535fab38cf48: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1050)@535fab38cf48: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1048): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1049): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1050): none (pass -> pass) MTC@535fab38cf48: Test case TC_sms_cb_cmd_sdcch8_default_and_normal finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass'. Sun Oct 20 07:11:17 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10730987) Waiting for packet dumper to finish... 1 (prev_count=10730987, count=10731484) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle ------ Sun Oct 20 07:11:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_cbc_sdcch8_load_idle started. TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch8_load_idle-RSL(1052)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1053)@535fab38cf48: Final verdict of PTC: none TC_cbc_sdcch8_load_idle-RSL-IPA(1051)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL-IPA(1051): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL(1052): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1053): none (pass -> pass) MTC@535fab38cf48: Test case TC_cbc_sdcch8_load_idle finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass'. Sun Oct 20 07:11:37 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7729725) Waiting for packet dumper to finish... 1 (prev_count=7729725, count=7734898) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload ------ Sun Oct 20 07:11:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_cbc_sdcch8_load_overload started. TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch8_load_overload-RSL(1055)@535fab38cf48: Final verdict of PTC: none TC_cbc_sdcch8_load_overload-RSL-IPA(1054)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1056)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL-IPA(1054): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL(1055): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1056): none (pass -> pass) MTC@535fab38cf48: Test case TC_cbc_sdcch8_load_overload finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass'. Sun Oct 20 07:12:00 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8764298) Waiting for packet dumper to finish... 1 (prev_count=8764298, count=8764795) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro'. ------ BTS_Tests_SMSCB.TC_etws_p1ro ------ Sun Oct 20 07:12:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_etws_p1ro started. TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_etws_p1ro-RSL(1058)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_etws_p1ro-RSL(1058)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1058)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1058)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL(1058)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1058)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1058)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL(1058)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1058)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1058)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_etws_p1ro-RSL(1058)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1058)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1058)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_etws_p1ro-RSL(1058)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1058)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1058)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Quantity of received ETWS PN segments: { 21, 21, 21, 21 } MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1009 TC_etws_p1ro-RSL(1058)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1059)@535fab38cf48: Final verdict of PTC: none TC_etws_p1ro-RSL-IPA(1057)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_etws_p1ro-RSL-IPA(1057): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_etws_p1ro-RSL(1058): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1059): none (pass -> pass) MTC@535fab38cf48: Test case TC_etws_p1ro finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass'. Sun Oct 20 07:12:16 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12070208) Waiting for packet dumper to finish... 1 (prev_count=12070208, count=12070705) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end'. ------ BTS_Tests_SMSCB.TC_etws_p1ro_end ------ Sun Oct 20 07:12:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_etws_p1ro_end started. TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1061)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1061)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1061)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1061)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1051 TC_etws_p1ro_end-RSL(1061)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1062)@535fab38cf48: Final verdict of PTC: none TC_etws_p1ro_end-RSL-IPA(1060)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_etws_p1ro_end-RSL-IPA(1060): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_etws_p1ro_end-RSL(1061): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1062): none (pass -> pass) MTC@535fab38cf48: Test case TC_etws_p1ro_end finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass'. Sun Oct 20 07:12:37 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro_end pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro_end.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15731307) Waiting for packet dumper to finish... 1 (prev_count=15731307, count=15736480) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu'. ------ BTS_Tests_SMSCB.TC_etws_pcu ------ Sun Oct 20 07:12:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap" >/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_etws_pcu started. TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1064)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1064)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1064)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1064)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1064)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1064)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_etws_pcu-RSL(1064)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1064)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1064)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1064)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_etws_pcu-RSL(1064)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1064)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1064)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1064)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL(1064)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1064)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1078 TC_etws_pcu-RSL(1064)@535fab38cf48: Final verdict of PTC: none TC_etws_pcu-RSL-IPA(1063)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1065)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_etws_pcu-RSL-IPA(1063): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_etws_pcu-RSL(1064): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1065): none (pass -> pass) MTC@535fab38cf48: Test case TC_etws_pcu finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass'. Sun Oct 20 07:12:43 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_pcu pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_SMSCB.TC_etws_pcu.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2042586) Waiting for packet dumper to finish... 1 (prev_count=2042586, count=2047759) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass' was executed successfully (exit status: 0). MC@535fab38cf48: Test execution finished. MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 ------ Sun Oct 20 07:12:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sabm_ua_dcch_sapi0 started. TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1070@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1069)@535fab38cf48: setverdict(pass): none -> pass 1070@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1070@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1070@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1070@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1069)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1070@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1069)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1070@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1069)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0(1071)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1072@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1071)@535fab38cf48: setverdict(pass): none -> pass 1072@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1072@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1072@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1072@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1071)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1072@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1071)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1072@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1071)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1074@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1073)@535fab38cf48: setverdict(pass): none -> pass 1074@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1074@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1074@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1074@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1073)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1074@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1073)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1074@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1073)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0(1075)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1076@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1075)@535fab38cf48: setverdict(pass): none -> pass 1076@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1076@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1076@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1076@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1075)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1076@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1075)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1076@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1075)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0-RSL(1067)@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0-RSL-IPA(1066)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1068)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL-IPA(1066): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL(1067): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1068): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1069): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1070: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1071): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1072: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1073): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1074: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1075): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1076: none (pass -> pass) MTC@535fab38cf48: Test case TC_sabm_ua_dcch_sapi0 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass'. Sun Oct 20 07:12:50 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3359396) Waiting for packet dumper to finish... 1 (prev_count=3359396, count=3359893) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload ------ Sun Oct 20 07:12:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sabm_ua_dcch_sapi0_nopayload started. TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1081@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1080)@535fab38cf48: setverdict(pass): none -> pass 1081@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1081@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1081@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1081@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1080)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1081@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1080)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1081@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1080)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0_nopayload(1082)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1083@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1082)@535fab38cf48: setverdict(pass): none -> pass 1083@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1083@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1083@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1083@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1082)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1083@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1082)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1083@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1082)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1085@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1084)@535fab38cf48: setverdict(pass): none -> pass 1085@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1085@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1085@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1085@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1084)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1085@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1084)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1085@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1084)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0_nopayload(1086)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1087@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1086)@535fab38cf48: setverdict(pass): none -> pass 1087@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1087@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1087@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1087@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1086)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1087@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1086)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1087@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1086)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078)@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1079)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1077): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL(1078): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1079): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1080): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1081: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1082): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1083: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1084): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1085: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1086): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1087: none (pass -> pass) MTC@535fab38cf48: Test case TC_sabm_ua_dcch_sapi0_nopayload finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass'. Sun Oct 20 07:13:16 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20388911) Waiting for packet dumper to finish... 1 (prev_count=20388911, count=20389408) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 ------ Sun Oct 20 07:13:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sabm_ua_dcch_sapi3 started. TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1092@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1091)@535fab38cf48: setverdict(pass): none -> pass 1092@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1092@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1092@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1092@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1091)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1092@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1091)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1092@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1091)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi3(1093)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1094@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1093)@535fab38cf48: setverdict(pass): none -> pass 1094@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1094@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1094@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1094@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1093)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1094@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1093)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1094@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1093)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1096@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1095)@535fab38cf48: setverdict(pass): none -> pass 1096@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1096@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1096@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1096@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1095)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1096@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1095)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1096@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1095)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi3(1097)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1098@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1097)@535fab38cf48: setverdict(pass): none -> pass 1098@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1098@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1098@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1098@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1097)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1098@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1097)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1098@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1097)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi3-RSL(1089)@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3-RSL-IPA(1088)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1090)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL-IPA(1088): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL(1089): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1090): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1091): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1092: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1093): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1094: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1095): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1096: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1097): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1098: none (pass -> pass) MTC@535fab38cf48: Test case TC_sabm_ua_dcch_sapi3 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass'. Sun Oct 20 07:13:42 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20361392) Waiting for packet dumper to finish... 1 (prev_count=20361392, count=20362326) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 ------ Sun Oct 20 07:13:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sabm_ua_dcch_sapi4 started. TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1103@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1102)@535fab38cf48: setverdict(pass): none -> pass 1103@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1103@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1103@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1103@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1102)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1103@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1102)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1103@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1102)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi4(1104)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1105@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1104)@535fab38cf48: setverdict(pass): none -> pass 1105@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1105@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1105@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1105@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1104)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1105@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1104)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1105@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1104)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1107@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1106)@535fab38cf48: setverdict(pass): none -> pass 1107@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1107@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1107@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1107@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1106)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1107@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1106)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1107@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1106)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi4(1108)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1109@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1108)@535fab38cf48: setverdict(pass): none -> pass 1109@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1109@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1109@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1109@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1108)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1109@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1108)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1109@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1108)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi4-RSL(1100)@535fab38cf48: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4-RSL-IPA(1099)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1101)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL-IPA(1099): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL(1100): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1101): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1102): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1103: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1104): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1105: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1106): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1107: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1108): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1109: none (pass -> pass) MTC@535fab38cf48: Test case TC_sabm_ua_dcch_sapi4 finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass'. Sun Oct 20 07:14:07 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20340250) Waiting for packet dumper to finish... 1 (prev_count=20340250, count=20345423) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention'. ------ BTS_Tests_LAPDm.TC_sabm_contention ------ Sun Oct 20 07:14:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sabm_contention started. TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sabm_contention-RSL(1111)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sabm_contention-RSL(1111)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sabm_contention-RSL(1111)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1111)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1111)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1111)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sabm_contention-RSL(1111)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1111)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1111)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1111)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1111)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL(1111)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1111)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1111)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL(1111)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1111)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_sabm_contention": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1114@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1113)@535fab38cf48: setverdict(pass): none -> pass 1114@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1114@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1114@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1114@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1113)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1114@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1113)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1114@535fab38cf48: Final verdict of PTC: none TC_sabm_contention(1113)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_contention": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_contention(1115)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1116@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1115)@535fab38cf48: setverdict(pass): none -> pass 1116@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1116@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1116@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1116@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1115)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1116@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1115)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1116@535fab38cf48: Final verdict of PTC: none TC_sabm_contention(1115)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_contention": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1118@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1117)@535fab38cf48: setverdict(pass): none -> pass 1118@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1118@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1118@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1118@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1117)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1118@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1117)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1118@535fab38cf48: Final verdict of PTC: none TC_sabm_contention(1117)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_contention": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_contention(1119)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1120@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1119)@535fab38cf48: setverdict(pass): none -> pass 1120@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1120@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1120@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1120@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1119)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_sabm_contention(1119)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1120@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1119)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1120@535fab38cf48: Final verdict of PTC: none TC_sabm_contention(1119)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_contention-RSL(1111)@535fab38cf48: Final verdict of PTC: none TC_sabm_contention-RSL-IPA(1110)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1112)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sabm_contention-RSL-IPA(1110): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_contention-RSL(1111): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1112): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_contention(1113): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1114: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_contention(1115): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1116: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_contention(1117): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1118: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_contention(1119): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1120: none (pass -> pass) MTC@535fab38cf48: Test case TC_sabm_contention finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass'. Sun Oct 20 07:14:33 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_contention pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_contention.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20399431) Waiting for packet dumper to finish... 1 (prev_count=20399431, count=20399928) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit ------ Sun Oct 20 07:14:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sabm_retransmit started. TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1122)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1122)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1122)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1122)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1122)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1122)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL(1122)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1122)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL(1122)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1122)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1122)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL(1122)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1122)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1122)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL(1122)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1122)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_sabm_retransmit": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1125@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1124)@535fab38cf48: setverdict(pass): none -> pass 1125@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1125@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1125@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1125@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1124)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1125@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1124)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1125@535fab38cf48: Final verdict of PTC: none TC_sabm_retransmit(1124)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_retransmit": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit(1126)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1127@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1126)@535fab38cf48: setverdict(pass): none -> pass 1127@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1127@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1127@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1127@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1126)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1127@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1126)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1127@535fab38cf48: Final verdict of PTC: none TC_sabm_retransmit(1126)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_retransmit": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1129@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1128)@535fab38cf48: setverdict(pass): none -> pass 1129@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1129@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1129@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1129@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1128)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1129@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1128)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1129@535fab38cf48: Final verdict of PTC: none TC_sabm_retransmit(1128)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_retransmit": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit(1130)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1131@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1130)@535fab38cf48: setverdict(pass): none -> pass 1131@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1131@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1131@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1131@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1130)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1131@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1130)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1131@535fab38cf48: Final verdict of PTC: none TC_sabm_retransmit(1130)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit-RSL(1122)@535fab38cf48: Final verdict of PTC: none TC_sabm_retransmit-RSL-IPA(1121)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1123)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sabm_retransmit-RSL-IPA(1121): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_retransmit-RSL(1122): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1123): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_retransmit(1124): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1125: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_retransmit(1126): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1127: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_retransmit(1128): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1129: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_retransmit(1130): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1131: none (pass -> pass) MTC@535fab38cf48: Test case TC_sabm_retransmit finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass'. Sun Oct 20 07:14:41 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3820407) Waiting for packet dumper to finish... 1 (prev_count=3820407, count=3820904) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit_bts ------ Sun Oct 20 07:14:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sabm_retransmit_bts started. TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_sabm_retransmit_bts": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1136@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1135)@535fab38cf48: setverdict(pass): none -> pass 1136@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1136@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1136@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1136@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1135)@535fab38cf48: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1136@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1135)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1136@535fab38cf48: Final verdict of PTC: none TC_sabm_retransmit_bts(1135)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_retransmit_bts": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit_bts(1137)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1138@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1137)@535fab38cf48: setverdict(pass): none -> pass 1138@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1138@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1138@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1138@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1137)@535fab38cf48: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1138@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1137)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1138@535fab38cf48: Final verdict of PTC: none TC_sabm_retransmit_bts(1137)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1140@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1139)@535fab38cf48: setverdict(pass): none -> pass 1140@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1140@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1140@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1140@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1139)@535fab38cf48: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1140@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1139)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1140@535fab38cf48: Final verdict of PTC: none TC_sabm_retransmit_bts(1139)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit_bts(1141)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1142@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1141)@535fab38cf48: setverdict(pass): none -> pass 1142@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1142@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1142@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1142@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1141)@535fab38cf48: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1142@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1141)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1142@535fab38cf48: Final verdict of PTC: none TC_sabm_retransmit_bts(1141)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit_bts-RSL(1133)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1134)@535fab38cf48: Final verdict of PTC: none TC_sabm_retransmit_bts-RSL-IPA(1132)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sabm_retransmit_bts-RSL-IPA(1132): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_retransmit_bts-RSL(1133): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1134): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_retransmit_bts(1135): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1136: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_retransmit_bts(1137): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1138: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_retransmit_bts(1139): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1140: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_retransmit_bts(1141): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1142: none (pass -> pass) MTC@535fab38cf48: Test case TC_sabm_retransmit_bts finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass'. Sun Oct 20 07:16:07 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=74952284) Waiting for packet dumper to finish... 1 (prev_count=74952284, count=74957457) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp'. ------ BTS_Tests_LAPDm.TC_sabm_invalid_resp ------ Sun Oct 20 07:16:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sabm_invalid_resp started. TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_sabm_invalid_resp": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1147@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1146)@535fab38cf48: setverdict(pass): none -> pass 1147@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1147@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1147@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1147@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1146)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1147@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1146)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1147@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp(1146)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1148@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1148@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1148@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1148@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1148@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1146)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1148@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1146)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1148@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp(1146)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1149@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1149@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1149@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1149@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1149@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1146)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1149@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1146)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1149@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp(1146)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_invalid_resp": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1150)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1151@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1150)@535fab38cf48: setverdict(pass): none -> pass 1151@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1151@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1151@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1151@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1151@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1151@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp(1150)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1152@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1152@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1152@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1152@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1152@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1152@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1152@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp(1150)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1153@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1153@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1153@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1153@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1153@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1153@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1150)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1153@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp(1150)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1155@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1154)@535fab38cf48: setverdict(pass): none -> pass 1155@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1155@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1155@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1155@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1155@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1155@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp(1154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1156@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1156@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1156@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1156@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1156@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1156@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1156@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp(1154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1157@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1157@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1157@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1157@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1157@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1157@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1154)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1157@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp(1154)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1158)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1159@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1158)@535fab38cf48: setverdict(pass): none -> pass 1159@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1159@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1159@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1159@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1159@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1159@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp(1158)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1160@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1160@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1160@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1160@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1160@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1160@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1160@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp(1158)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1161@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1161@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1161@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1161@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1161@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1161@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1158)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1161@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp(1158)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_invalid_resp-RSL(1144)@535fab38cf48: Final verdict of PTC: none TC_sabm_invalid_resp-RSL-IPA(1143)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1145)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sabm_invalid_resp-RSL-IPA(1143): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_invalid_resp-RSL(1144): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1145): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_invalid_resp(1146): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1147: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1148: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1149: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_invalid_resp(1150): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1151: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1152: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1153: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_invalid_resp(1154): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1155: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1156: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1157: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_invalid_resp(1158): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1159: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1160: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1161: none (pass -> pass) MTC@535fab38cf48: Test case TC_sabm_invalid_resp finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass'. Sun Oct 20 07:16:35 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_invalid_resp pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_invalid_resp.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18358545) Waiting for packet dumper to finish... 1 (prev_count=18358545, count=18359042) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm'. ------ BTS_Tests_LAPDm.TC_sabm_dm ------ Sun Oct 20 07:16:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sabm_dm started. TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sabm_dm-RSL(1163)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1163)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1163)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1163)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL(1163)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sabm_dm-RSL(1163)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sabm_dm-RSL(1163)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1163)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL(1163)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1163)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL(1163)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1163)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1163)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sabm_dm-RSL(1163)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1163)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1163)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_sabm_dm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1166@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1165)@535fab38cf48: setverdict(pass): none -> pass 1166@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1166@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1166@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1166@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1165)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1166@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1165)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1166@535fab38cf48: Final verdict of PTC: none TC_sabm_dm(1165)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_dm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_dm(1167)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1168@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1167)@535fab38cf48: setverdict(pass): none -> pass 1168@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1168@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1168@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1168@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1167)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1168@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1167)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1168@535fab38cf48: Final verdict of PTC: none TC_sabm_dm(1167)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_dm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1170@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1169)@535fab38cf48: setverdict(pass): none -> pass 1170@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1170@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1170@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1170@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1169)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1170@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1169)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1170@535fab38cf48: Final verdict of PTC: none TC_sabm_dm(1169)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_dm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_dm(1171)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1172@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1171)@535fab38cf48: setverdict(pass): none -> pass 1172@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1172@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1172@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1172@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1171)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1172@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1171)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1172@535fab38cf48: Final verdict of PTC: none TC_sabm_dm(1171)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_dm-RSL(1163)@535fab38cf48: Final verdict of PTC: none TC_sabm_dm-RSL-IPA(1162)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1164)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sabm_dm-RSL-IPA(1162): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_dm-RSL(1163): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1164): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_dm(1165): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1166: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_dm(1167): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1168: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_dm(1169): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1170: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_dm(1171): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1172: none (pass -> pass) MTC@535fab38cf48: Test case TC_sabm_dm finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass'. Sun Oct 20 07:16:54 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_dm pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_dm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13729855) Waiting for packet dumper to finish... 1 (prev_count=13729855, count=13730352) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm'. ------ BTS_Tests_LAPDm.TC_establish_ign_first_sabm ------ Sun Oct 20 07:16:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap" >/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_establish_ign_first_sabm started. TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_establish_ign_first_sabm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1177@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1176)@535fab38cf48: setverdict(pass): none -> pass 1177@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1177@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1177@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1177@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1176)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1177@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1176)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1177@535fab38cf48: Final verdict of PTC: none TC_establish_ign_first_sabm(1176)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_establish_ign_first_sabm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_establish_ign_first_sabm(1178)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1179@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1178)@535fab38cf48: setverdict(pass): none -> pass 1179@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1179@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1179@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1179@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1178)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1179@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1178)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1179@535fab38cf48: Final verdict of PTC: none TC_establish_ign_first_sabm(1178)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1181@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1180)@535fab38cf48: setverdict(pass): none -> pass 1181@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1181@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1181@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1181@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1180)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1181@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1180)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1181@535fab38cf48: Final verdict of PTC: none TC_establish_ign_first_sabm(1180)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_establish_ign_first_sabm(1182)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1183@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1182)@535fab38cf48: setverdict(pass): none -> pass 1183@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1183@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1183@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1183@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1182)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1183@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1182)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1183@535fab38cf48: Final verdict of PTC: none TC_establish_ign_first_sabm(1182)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_establish_ign_first_sabm-RSL(1174)@535fab38cf48: Final verdict of PTC: none TC_establish_ign_first_sabm-RSL-IPA(1173)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1175)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_establish_ign_first_sabm-RSL-IPA(1173): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_establish_ign_first_sabm-RSL(1174): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1175): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_establish_ign_first_sabm(1176): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1177: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_establish_ign_first_sabm(1178): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1179: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_establish_ign_first_sabm(1180): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1181: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_establish_ign_first_sabm(1182): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1183: none (pass -> pass) MTC@535fab38cf48: Test case TC_establish_ign_first_sabm finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass'. Sun Oct 20 07:17:06 UTC 2024 ====== BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_establish_ign_first_sabm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8093979) Waiting for packet dumper to finish... 1 (prev_count=8093979, count=8094476) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack'. ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack ------ Sun Oct 20 07:17:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_iframe_seq_and_ack started. TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_iframe_seq_and_ack": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1188@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1187)@535fab38cf48: setverdict(pass): none -> pass 1188@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1188@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1188@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1188@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1187)@535fab38cf48: Starting iteration 0 TC_iframe_seq_and_ack(1187)@535fab38cf48: Starting iteration 1 TC_iframe_seq_and_ack(1187)@535fab38cf48: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1187)@535fab38cf48: Starting iteration 2 TC_iframe_seq_and_ack(1187)@535fab38cf48: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1187)@535fab38cf48: Starting iteration 3 TC_iframe_seq_and_ack(1187)@535fab38cf48: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1187)@535fab38cf48: Starting iteration 4 TC_iframe_seq_and_ack(1187)@535fab38cf48: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1187)@535fab38cf48: Starting iteration 5 TC_iframe_seq_and_ack(1187)@535fab38cf48: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1187)@535fab38cf48: Starting iteration 6 TC_iframe_seq_and_ack(1187)@535fab38cf48: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1187)@535fab38cf48: Starting iteration 7 TC_iframe_seq_and_ack(1187)@535fab38cf48: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1187)@535fab38cf48: Starting iteration 8 TC_iframe_seq_and_ack(1187)@535fab38cf48: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1187)@535fab38cf48: Starting iteration 9 TC_iframe_seq_and_ack(1187)@535fab38cf48: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1187)@535fab38cf48: Completed iteration 1188@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1187)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1188@535fab38cf48: Final verdict of PTC: none TC_iframe_seq_and_ack(1187)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_iframe_seq_and_ack": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_seq_and_ack(1189)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1190@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1189)@535fab38cf48: setverdict(pass): none -> pass 1190@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1190@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1190@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1190@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1189)@535fab38cf48: Starting iteration 0 TC_iframe_seq_and_ack(1189)@535fab38cf48: Starting iteration 1 TC_iframe_seq_and_ack(1189)@535fab38cf48: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1189)@535fab38cf48: Starting iteration 2 TC_iframe_seq_and_ack(1189)@535fab38cf48: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1189)@535fab38cf48: Starting iteration 3 TC_iframe_seq_and_ack(1189)@535fab38cf48: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1189)@535fab38cf48: Starting iteration 4 TC_iframe_seq_and_ack(1189)@535fab38cf48: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1189)@535fab38cf48: Starting iteration 5 TC_iframe_seq_and_ack(1189)@535fab38cf48: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1189)@535fab38cf48: Starting iteration 6 TC_iframe_seq_and_ack(1189)@535fab38cf48: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1189)@535fab38cf48: Starting iteration 7 TC_iframe_seq_and_ack(1189)@535fab38cf48: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1189)@535fab38cf48: Starting iteration 8 TC_iframe_seq_and_ack(1189)@535fab38cf48: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1189)@535fab38cf48: Starting iteration 9 TC_iframe_seq_and_ack(1189)@535fab38cf48: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1189)@535fab38cf48: Completed iteration 1190@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1189)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1190@535fab38cf48: Final verdict of PTC: none TC_iframe_seq_and_ack(1189)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1192@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1191)@535fab38cf48: setverdict(pass): none -> pass 1192@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1192@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1192@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1192@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1191)@535fab38cf48: Starting iteration 0 TC_iframe_seq_and_ack(1191)@535fab38cf48: Starting iteration 1 TC_iframe_seq_and_ack(1191)@535fab38cf48: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1191)@535fab38cf48: Starting iteration 2 TC_iframe_seq_and_ack(1191)@535fab38cf48: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1191)@535fab38cf48: Starting iteration 3 TC_iframe_seq_and_ack(1191)@535fab38cf48: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1191)@535fab38cf48: Starting iteration 4 TC_iframe_seq_and_ack(1191)@535fab38cf48: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1191)@535fab38cf48: Starting iteration 5 TC_iframe_seq_and_ack(1191)@535fab38cf48: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1191)@535fab38cf48: Starting iteration 6 TC_iframe_seq_and_ack(1191)@535fab38cf48: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1191)@535fab38cf48: Starting iteration 7 TC_iframe_seq_and_ack(1191)@535fab38cf48: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1191)@535fab38cf48: Starting iteration 8 TC_iframe_seq_and_ack(1191)@535fab38cf48: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1191)@535fab38cf48: Starting iteration 9 TC_iframe_seq_and_ack(1191)@535fab38cf48: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1191)@535fab38cf48: Completed iteration 1192@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1191)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1192@535fab38cf48: Final verdict of PTC: none TC_iframe_seq_and_ack(1191)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_seq_and_ack(1193)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1194@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1193)@535fab38cf48: setverdict(pass): none -> pass 1194@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1194@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1194@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1194@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1193)@535fab38cf48: Starting iteration 0 TC_iframe_seq_and_ack(1193)@535fab38cf48: Starting iteration 1 TC_iframe_seq_and_ack(1193)@535fab38cf48: setverdict(fail): pass -> fail reason: "Received RSL ERROR IND <unbound>", new component reason: "Received RSL ERROR IND <unbound>" TC_iframe_seq_and_ack(1193)@535fab38cf48: Starting iteration 2 TC_iframe_seq_and_ack(1193)@535fab38cf48: setverdict(fail): fail -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", component reason not changed TC_iframe_seq_and_ack(1193)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@535fab38cf48: Test Component 1193 has requested to stop MTC. Terminating current testcase execution. TC_iframe_seq_and_ack-RSL(1185)@535fab38cf48: Final verdict of PTC: none 1194@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1186)@535fab38cf48: Final verdict of PTC: none TC_iframe_seq_and_ack-RSL-IPA(1184)@535fab38cf48: Final verdict of PTC: none TC_iframe_seq_and_ack(1193)@535fab38cf48: Final verdict of PTC: fail reason: "Received RSL ERROR IND <unbound>" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_iframe_seq_and_ack-RSL-IPA(1184): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_iframe_seq_and_ack-RSL(1185): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1186): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_iframe_seq_and_ack(1187): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1188: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_iframe_seq_and_ack(1189): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1190: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_iframe_seq_and_ack(1191): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1192: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_iframe_seq_and_ack(1193): fail (pass -> fail) reason: "Received RSL ERROR IND <unbound>" MTC@535fab38cf48: Local verdict of PTC with component reference 1194: none (fail -> fail) MTC@535fab38cf48: Test case TC_iframe_seq_and_ack finished. Verdict: fail reason: Received RSL ERROR IND <unbound> MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail'. Sun Oct 20 07:17:40 UTC 2024 ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_iframe_seq_and_ack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24790303) Waiting for packet dumper to finish... 1 (prev_count=24790303, count=24790800) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery'. ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery ------ Sun Oct 20 07:17:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_iframe_timer_recovery started. TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_iframe_timer_recovery": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1199@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1198)@535fab38cf48: setverdict(pass): none -> pass 1199@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1199@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1199@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1199@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1199@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1198)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1199@535fab38cf48: Final verdict of PTC: none TC_iframe_timer_recovery(1198)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_iframe_timer_recovery": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_timer_recovery(1200)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1201@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1200)@535fab38cf48: setverdict(pass): none -> pass 1201@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1201@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1201@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1201@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1201@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1200)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1201@535fab38cf48: Final verdict of PTC: none TC_iframe_timer_recovery(1200)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1203@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1202)@535fab38cf48: setverdict(pass): none -> pass 1203@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1203@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1203@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1203@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1203@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1202)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1203@535fab38cf48: Final verdict of PTC: none TC_iframe_timer_recovery(1202)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_timer_recovery(1204)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1205@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1204)@535fab38cf48: setverdict(pass): none -> pass 1205@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1205@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1205@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1205@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_timer_recovery(1204)@535fab38cf48: setverdict(fail): pass -> fail reason: "Received RSL ERROR IND <unbound>", new component reason: "Received RSL ERROR IND <unbound>" TC_iframe_timer_recovery(1204)@535fab38cf48: setverdict(fail): fail -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", component reason not changed TC_iframe_timer_recovery(1204)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@535fab38cf48: Test Component 1204 has requested to stop MTC. Terminating current testcase execution. 1205@535fab38cf48: Final verdict of PTC: none TC_iframe_timer_recovery-RSL(1196)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1197)@535fab38cf48: Final verdict of PTC: none TC_iframe_timer_recovery-RSL-IPA(1195)@535fab38cf48: Final verdict of PTC: none TC_iframe_timer_recovery(1204)@535fab38cf48: Final verdict of PTC: fail reason: "Received RSL ERROR IND <unbound>" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_iframe_timer_recovery-RSL-IPA(1195): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_iframe_timer_recovery-RSL(1196): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1197): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_iframe_timer_recovery(1198): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1199: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_iframe_timer_recovery(1200): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1201: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_iframe_timer_recovery(1202): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1203: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_iframe_timer_recovery(1204): fail (pass -> fail) reason: "Received RSL ERROR IND <unbound>" MTC@535fab38cf48: Local verdict of PTC with component reference 1205: none (fail -> fail) MTC@535fab38cf48: Test case TC_iframe_timer_recovery finished. Verdict: fail reason: Received RSL ERROR IND <unbound> MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery fail'. Sun Oct 20 07:18:10 UTC 2024 ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_iframe_timer_recovery.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20228344) Waiting for packet dumper to finish... 1 (prev_count=20228344, count=20228841) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error'. ------ BTS_Tests_LAPDm.TC_ns_seq_error ------ Sun Oct 20 07:18:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_ns_seq_error started. TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1207)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1207)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1207)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1207)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1207)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1207)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_ns_seq_error-RSL(1207)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ns_seq_error-RSL(1207)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1207)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1207)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1207)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL(1207)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1207)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1207)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1207)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1207)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_ns_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1210@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1209)@535fab38cf48: setverdict(pass): none -> pass 1210@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1210@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1210@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1210@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1209)@535fab38cf48: Warning: Re-starting timer T1, which is already active (running or expired). 1210@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1209)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1210@535fab38cf48: Final verdict of PTC: none TC_ns_seq_error(1209)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1209)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_ns_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ns_seq_error(1211)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1212@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1211)@535fab38cf48: setverdict(pass): none -> pass 1212@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1212@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1212@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1212@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1211)@535fab38cf48: Warning: Re-starting timer T1, which is already active (running or expired). 1212@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1211)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1212@535fab38cf48: Final verdict of PTC: none TC_ns_seq_error(1211)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1211)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_ns_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1214@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1213)@535fab38cf48: setverdict(pass): none -> pass 1214@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1214@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1214@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1214@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1213)@535fab38cf48: Warning: Re-starting timer T1, which is already active (running or expired). 1214@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1213)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1214@535fab38cf48: Final verdict of PTC: none TC_ns_seq_error(1213)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1213)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_ns_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ns_seq_error(1215)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1216@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1215)@535fab38cf48: setverdict(pass): none -> pass 1216@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1216@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1216@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1216@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1215)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_ns_seq_error(1215)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@535fab38cf48: Test Component 1215 has requested to stop MTC. Terminating current testcase execution. 1216@535fab38cf48: Final verdict of PTC: none TC_ns_seq_error-RSL(1207)@535fab38cf48: Final verdict of PTC: none TC_ns_seq_error-RSL-IPA(1206)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1208)@535fab38cf48: Final verdict of PTC: none TC_ns_seq_error(1215)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_ns_seq_error-RSL-IPA(1206): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ns_seq_error-RSL(1207): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1208): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_ns_seq_error(1209): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1210: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ns_seq_error(1211): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1212: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ns_seq_error(1213): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1214: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_ns_seq_error(1215): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@535fab38cf48: Local verdict of PTC with component reference 1216: none (fail -> fail) MTC@535fab38cf48: Test case TC_ns_seq_error finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error fail'. Sun Oct 20 07:18:44 UTC 2024 ------ BTS_Tests_LAPDm.TC_ns_seq_error fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_ns_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24987734) Waiting for packet dumper to finish... 1 (prev_count=24987734, count=24988231) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error'. ------ BTS_Tests_LAPDm.TC_nr_seq_error ------ Sun Oct 20 07:18:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_nr_seq_error started. TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_nr_seq_error-RSL(1218)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_nr_seq_error-RSL(1218)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_nr_seq_error-RSL(1218)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1218)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1218)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1218)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: Established a new IPA connection (conn_id=5) MTC@535fab38cf48: 1/4 transceiver(s) connected TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1218)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1218)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1218)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1218)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1218)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL(1218)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1218)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_nr_seq_error-RSL(1218)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1218)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1218)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_nr_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1221@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1220)@535fab38cf48: setverdict(pass): none -> pass 1221@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1221@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1221@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1221@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1221@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1220)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1221@535fab38cf48: Final verdict of PTC: none TC_nr_seq_error(1220)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1220)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_nr_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_nr_seq_error(1222)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1223@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1222)@535fab38cf48: setverdict(pass): none -> pass 1223@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1223@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1223@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1223@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1223@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1222)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1223@535fab38cf48: Final verdict of PTC: none TC_nr_seq_error(1222)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1222)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_nr_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1225@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1224)@535fab38cf48: setverdict(pass): none -> pass 1225@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1225@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1225@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1225@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1225@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1224)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1225@535fab38cf48: Final verdict of PTC: none TC_nr_seq_error(1224)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1224)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_nr_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_nr_seq_error(1226)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1227@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1226)@535fab38cf48: setverdict(pass): none -> pass 1227@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1227@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1227@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1227@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1227@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1226)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1227@535fab38cf48: Final verdict of PTC: none TC_nr_seq_error(1226)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1226)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_nr_seq_error-RSL(1218)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1219)@535fab38cf48: Final verdict of PTC: none TC_nr_seq_error-RSL-IPA(1217)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_nr_seq_error-RSL-IPA(1217): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_nr_seq_error-RSL(1218): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1219): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_nr_seq_error(1220): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1221: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_nr_seq_error(1222): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1223: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_nr_seq_error(1224): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1225: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_nr_seq_error(1226): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1227: none (pass -> pass) MTC@535fab38cf48: Test case TC_nr_seq_error finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass'. Sun Oct 20 07:18:51 UTC 2024 ====== BTS_Tests_LAPDm.TC_nr_seq_error pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_nr_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3630143) Waiting for packet dumper to finish... 1 (prev_count=3630143, count=3631077) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame'. ------ BTS_Tests_LAPDm.TC_rec_invalid_frame ------ Sun Oct 20 07:18:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap" >/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rec_invalid_frame started. TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rec_invalid_frame-RSL(1229)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rec_invalid_frame-RSL(1229)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rec_invalid_frame-RSL(1229)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1229)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rec_invalid_frame": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1232@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1231)@535fab38cf48: setverdict(pass): none -> pass 1232@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1232@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1232@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1232@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1232@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1231)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1232@535fab38cf48: Final verdict of PTC: none TC_rec_invalid_frame(1231)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1231)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rec_invalid_frame": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rec_invalid_frame(1233)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1234@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1233)@535fab38cf48: setverdict(pass): none -> pass 1234@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1234@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1234@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1234@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1234@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1233)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1234@535fab38cf48: Final verdict of PTC: none TC_rec_invalid_frame(1233)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1233)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1236@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1235)@535fab38cf48: setverdict(pass): none -> pass 1236@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1236@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1236@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1236@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1236@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1235)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1236@535fab38cf48: Final verdict of PTC: none TC_rec_invalid_frame(1235)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1235)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rec_invalid_frame(1237)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1238@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1237)@535fab38cf48: setverdict(pass): none -> pass 1238@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1238@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1238@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1238@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rec_invalid_frame(1237)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"", new component reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" TC_rec_invalid_frame(1237)@535fab38cf48: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":909 MC@535fab38cf48: Test Component 1237 has requested to stop MTC. Terminating current testcase execution. TC_rec_invalid_frame-RSL(1229)@535fab38cf48: Final verdict of PTC: none 1238@535fab38cf48: Final verdict of PTC: none TC_rec_invalid_frame-RSL-IPA(1228)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1230)@535fab38cf48: Final verdict of PTC: none TC_rec_invalid_frame(1237)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rec_invalid_frame-RSL-IPA(1228): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rec_invalid_frame-RSL(1229): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1230): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rec_invalid_frame(1231): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1232: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rec_invalid_frame(1233): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1234: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rec_invalid_frame(1235): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1236: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rec_invalid_frame(1237): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" MTC@535fab38cf48: Local verdict of PTC with component reference 1238: none (fail -> fail) MTC@535fab38cf48: Test case TC_rec_invalid_frame finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame fail'. Sun Oct 20 07:20:54 UTC 2024 ------ BTS_Tests_LAPDm.TC_rec_invalid_frame fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_rec_invalid_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=111716274) Waiting for packet dumper to finish... 1 (prev_count=111716274, count=111716771) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch'. ------ BTS_Tests_LAPDm.TC_segm_concat_dcch ------ Sun Oct 20 07:20:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_segm_concat_dcch started. TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1240)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1240)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1240)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1240)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_segm_concat_dcch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1243@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1242)@535fab38cf48: setverdict(pass): none -> pass 1243@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1243@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1243@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1243@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1242)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1243@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1242)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1243@535fab38cf48: Final verdict of PTC: none TC_segm_concat_dcch(1242)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_segm_concat_dcch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_dcch(1244)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_dcch(1244)@535fab38cf48: setverdict(pass): none -> pass 1245@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1245@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1245@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1245@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1245@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1244)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1245@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1244)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1245@535fab38cf48: Final verdict of PTC: none TC_segm_concat_dcch(1244)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1247@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1246)@535fab38cf48: setverdict(pass): none -> pass 1247@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1247@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1247@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1247@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1246)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1247@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1246)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1247@535fab38cf48: Final verdict of PTC: none TC_segm_concat_dcch(1246)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_dcch(1248)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1249@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1248)@535fab38cf48: setverdict(pass): none -> pass 1249@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1249@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1249@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1249@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1248)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_segm_concat_dcch(1248)@535fab38cf48: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@535fab38cf48: Test Component 1248 has requested to stop MTC. Terminating current testcase execution. 1249@535fab38cf48: Final verdict of PTC: none TC_segm_concat_dcch-RSL(1240)@535fab38cf48: Final verdict of PTC: none TC_segm_concat_dcch(1248)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_segm_concat_dcch-RSL-IPA(1239)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1241)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_segm_concat_dcch-RSL-IPA(1239): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_segm_concat_dcch-RSL(1240): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1241): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_segm_concat_dcch(1242): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1243: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_segm_concat_dcch(1244): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1245: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_segm_concat_dcch(1246): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1247: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_segm_concat_dcch(1248): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" MTC@535fab38cf48: Local verdict of PTC with component reference 1249: none (fail -> fail) MTC@535fab38cf48: Test case TC_segm_concat_dcch finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch fail'. Sun Oct 20 07:21:13 UTC 2024 ------ BTS_Tests_LAPDm.TC_segm_concat_dcch fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_dcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10327452) Waiting for packet dumper to finish... 1 (prev_count=10327452, count=10327949) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch'. ------ BTS_Tests_LAPDm.TC_segm_concat_sacch ------ Sun Oct 20 07:21:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_segm_concat_sacch started. TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1251)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1251)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1251)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1251)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_segm_concat_sacch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1254@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1253)@535fab38cf48: setverdict(pass): none -> pass 1254@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1254@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1254@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1254@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1253)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1254@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1253)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1254@535fab38cf48: Final verdict of PTC: none TC_segm_concat_sacch(1253)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_segm_concat_sacch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_sacch(1255)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1256@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1255)@535fab38cf48: setverdict(pass): none -> pass 1256@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1256@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1256@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1256@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1255)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1256@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1255)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1256@535fab38cf48: Final verdict of PTC: none TC_segm_concat_sacch(1255)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1258@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1257)@535fab38cf48: setverdict(pass): none -> pass 1258@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1258@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1258@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1258@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1257)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1258@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1257)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1258@535fab38cf48: Final verdict of PTC: none TC_segm_concat_sacch(1257)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_sacch(1259)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1260@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1259)@535fab38cf48: setverdict(pass): none -> pass 1260@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1260@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1260@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1260@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1259)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" TC_segm_concat_sacch(1259)@535fab38cf48: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@535fab38cf48: Test Component 1259 has requested to stop MTC. Terminating current testcase execution. TC_segm_concat_sacch-RSL(1251)@535fab38cf48: Final verdict of PTC: none 1260@535fab38cf48: Final verdict of PTC: none TC_segm_concat_sacch(1259)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" TC_segm_concat_sacch-RSL-IPA(1250)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1252)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_segm_concat_sacch-RSL-IPA(1250): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_segm_concat_sacch-RSL(1251): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1252): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_segm_concat_sacch(1253): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1254: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_segm_concat_sacch(1255): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1256: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_segm_concat_sacch(1257): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1258: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_segm_concat_sacch(1259): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" MTC@535fab38cf48: Local verdict of PTC with component reference 1260: none (fail -> fail) MTC@535fab38cf48: Test case TC_segm_concat_sacch finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch fail'. Sun Oct 20 07:22:06 UTC 2024 ------ BTS_Tests_LAPDm.TC_segm_concat_sacch fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=46982667) Waiting for packet dumper to finish... 1 (prev_count=46982667, count=46983164) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200'. ------ BTS_Tests_LAPDm.TC_t200_n200 ------ Sun Oct 20 07:22:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_t200_n200.pcap" >/data/BTS_Tests_LAPDm.TC_t200_n200.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_t200_n200 started. TC_t200_n200-RSL-IPA(1261)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1262)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1261)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1262)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1261)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1262)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1262)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1262)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1262)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_t200_n200-RSL(1262)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1261)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_t200_n200-RSL(1262)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL(1262)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1262)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_t200_n200-RSL(1262)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL(1262)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1262)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_t200_n200-RSL(1262)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_t200_n200-RSL(1262)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1262)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_t200_n200": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1265@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1264)@535fab38cf48: setverdict(pass): none -> pass 1265@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1265@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1265@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1265@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1264)@535fab38cf48: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1265@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1264)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1265@535fab38cf48: Final verdict of PTC: none TC_t200_n200(1264)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_t200_n200": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_t200_n200(1266)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1267@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1266)@535fab38cf48: setverdict(pass): none -> pass 1267@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1267@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1267@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1267@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1266)@535fab38cf48: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1267@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1266)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1267@535fab38cf48: Final verdict of PTC: none TC_t200_n200(1266)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_t200_n200": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1269@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1268)@535fab38cf48: setverdict(pass): none -> pass 1269@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1269@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1269@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1269@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1268)@535fab38cf48: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1269@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1268)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1269@535fab38cf48: Final verdict of PTC: none TC_t200_n200(1268)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_t200_n200": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_t200_n200(1270)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1271@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1270)@535fab38cf48: setverdict(pass): none -> pass 1271@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1271@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1271@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1271@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1270)@535fab38cf48: setverdict(fail): pass -> fail reason: "Missing RSL RLL ERROR INDICATION", new component reason: "Missing RSL RLL ERROR INDICATION" 1271@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1271@535fab38cf48: Final verdict of PTC: none TC_t200_n200(1270)@535fab38cf48: Final verdict of PTC: fail reason: "Missing RSL RLL ERROR INDICATION" MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_t200_n200-RSL(1262)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1263)@535fab38cf48: Final verdict of PTC: none TC_t200_n200-RSL-IPA(1261)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_t200_n200-RSL-IPA(1261): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_t200_n200-RSL(1262): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1263): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_t200_n200(1264): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1265: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_t200_n200(1266): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1267: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_t200_n200(1268): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1269: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_t200_n200(1270): fail (pass -> fail) reason: "Missing RSL RLL ERROR INDICATION" MTC@535fab38cf48: Local verdict of PTC with component reference 1271: none (fail -> fail) MTC@535fab38cf48: Test case TC_t200_n200 finished. Verdict: fail reason: Missing RSL RLL ERROR INDICATION MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 fail'. Sun Oct 20 07:22:51 UTC 2024 ------ BTS_Tests_LAPDm.TC_t200_n200 fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_t200_n200.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=37057956) Waiting for packet dumper to finish... 1 (prev_count=37057956, count=37058453) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss'. ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss ------ Sun Oct 20 07:22:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap" >/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_rr_response_frame_loss started. TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_rr_response_frame_loss": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1276@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1275)@535fab38cf48: setverdict(pass): none -> pass 1276@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1276@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1276@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1276@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1275)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1276@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1275)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1276@535fab38cf48: Final verdict of PTC: none TC_rr_response_frame_loss(1275)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rr_response_frame_loss": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rr_response_frame_loss(1277)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1278@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1277)@535fab38cf48: setverdict(pass): none -> pass 1278@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1278@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1278@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1278@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1277)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1278@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1277)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1278@535fab38cf48: Final verdict of PTC: none TC_rr_response_frame_loss(1277)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1280@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1279)@535fab38cf48: setverdict(pass): none -> pass 1280@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1280@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1280@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1280@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1279)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1280@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1279)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1280@535fab38cf48: Final verdict of PTC: none TC_rr_response_frame_loss(1279)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rr_response_frame_loss(1281)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1282@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1281)@535fab38cf48: setverdict(pass): none -> pass 1282@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1282@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1282@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1282@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1281)@535fab38cf48: setverdict(fail): pass -> fail reason: "Timeout waiting for RR or REJ", new component reason: "Timeout waiting for RR or REJ" 1282@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1282@535fab38cf48: Final verdict of PTC: none TC_rr_response_frame_loss(1281)@535fab38cf48: Final verdict of PTC: fail reason: "Timeout waiting for RR or REJ" MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rr_response_frame_loss-RSL(1273)@535fab38cf48: Final verdict of PTC: none TC_rr_response_frame_loss-RSL-IPA(1272)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1274)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_rr_response_frame_loss-RSL-IPA(1272): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rr_response_frame_loss-RSL(1273): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1274): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_rr_response_frame_loss(1275): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1276: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rr_response_frame_loss(1277): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1278: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rr_response_frame_loss(1279): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1280: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_rr_response_frame_loss(1281): fail (pass -> fail) reason: "Timeout waiting for RR or REJ" MTC@535fab38cf48: Local verdict of PTC with component reference 1282: none (fail -> fail) MTC@535fab38cf48: Test case TC_rr_response_frame_loss finished. Verdict: fail reason: Timeout waiting for RR or REJ MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss fail'. Sun Oct 20 07:23:02 UTC 2024 ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_rr_response_frame_loss.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6636778) Waiting for packet dumper to finish... 1 (prev_count=6636778, count=6642516) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr'. ------ BTS_Tests_LAPDm.TC_incorrect_cr ------ Sun Oct 20 07:23:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap" >/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_incorrect_cr started. TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1284)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1284)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1284)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1284)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1284)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1284)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_incorrect_cr-RSL(1284)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1284)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1284)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1284)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1284)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1284)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1284)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_incorrect_cr-RSL(1284)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1284)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1284)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_incorrect_cr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1287@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1286)@535fab38cf48: setverdict(pass): none -> pass 1287@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1287@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1287@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1287@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1287@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1286)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1287@535fab38cf48: Final verdict of PTC: none TC_incorrect_cr(1286)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_incorrect_cr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_incorrect_cr(1288)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1289@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1288)@535fab38cf48: setverdict(pass): none -> pass 1289@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1289@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1289@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1289@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1289@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1288)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1289@535fab38cf48: Final verdict of PTC: none TC_incorrect_cr(1288)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_incorrect_cr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1291@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1290)@535fab38cf48: setverdict(pass): none -> pass 1291@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1291@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1291@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1291@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1291@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1290)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1291@535fab38cf48: Final verdict of PTC: none TC_incorrect_cr(1290)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_incorrect_cr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_incorrect_cr(1292)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1293@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1292)@535fab38cf48: setverdict(pass): none -> pass 1293@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1293@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1293@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1293@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1293@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1292)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1293@535fab38cf48: Final verdict of PTC: none TC_incorrect_cr(1292)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_incorrect_cr-RSL(1284)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1285)@535fab38cf48: Final verdict of PTC: none TC_incorrect_cr-RSL-IPA(1283)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_incorrect_cr-RSL-IPA(1283): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_incorrect_cr-RSL(1284): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1285): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_incorrect_cr(1286): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1287: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_incorrect_cr(1288): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1289: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_incorrect_cr(1290): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1291: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_incorrect_cr(1292): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1293: none (pass -> pass) MTC@535fab38cf48: Test case TC_incorrect_cr finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass'. Sun Oct 20 07:23:22 UTC 2024 ====== BTS_Tests_LAPDm.TC_incorrect_cr pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_incorrect_cr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15071740) Waiting for packet dumper to finish... 1 (prev_count=15071740, count=15076913) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c'. ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c ------ Sun Oct 20 07:23:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_sabm_incorrect_c started. TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_sabm_incorrect_c": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1298@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1297)@535fab38cf48: setverdict(pass): none -> pass 1298@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1298@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1298@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1298@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1298@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1297)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1298@535fab38cf48: Final verdict of PTC: none TC_sabm_incorrect_c(1297)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_incorrect_c": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_incorrect_c(1299)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1300@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1299)@535fab38cf48: setverdict(pass): none -> pass 1300@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1300@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1300@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1300@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1300@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1299)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1300@535fab38cf48: Final verdict of PTC: none TC_sabm_incorrect_c(1299)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1302@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1301)@535fab38cf48: setverdict(pass): none -> pass 1302@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1302@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1302@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1302@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1302@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1301)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1302@535fab38cf48: Final verdict of PTC: none TC_sabm_incorrect_c(1301)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_incorrect_c(1303)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1304@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1303)@535fab38cf48: setverdict(pass): none -> pass 1304@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1304@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1304@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1304@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_incorrect_c(1303)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_sabm_incorrect_c(1303)@535fab38cf48: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@535fab38cf48: Test Component 1303 has requested to stop MTC. Terminating current testcase execution. TC_sabm_incorrect_c-RSL(1295)@535fab38cf48: Final verdict of PTC: none 1304@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1296)@535fab38cf48: Final verdict of PTC: none TC_sabm_incorrect_c-RSL-IPA(1294)@535fab38cf48: Final verdict of PTC: none TC_sabm_incorrect_c(1303)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_sabm_incorrect_c-RSL-IPA(1294): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_incorrect_c-RSL(1295): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1296): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_sabm_incorrect_c(1297): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1298: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_incorrect_c(1299): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1300: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_incorrect_c(1301): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1302: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_sabm_incorrect_c(1303): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@535fab38cf48: Local verdict of PTC with component reference 1304: none (fail -> fail) MTC@535fab38cf48: Test case TC_sabm_incorrect_c finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c fail'. Sun Oct 20 07:23:50 UTC 2024 ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_sabm_incorrect_c.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18850970) Waiting for packet dumper to finish... 1 (prev_count=18850970, count=18851467) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment ------ Sun Oct 20 07:23:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_normal_reestablishment started. TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1306)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1306)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1306)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1306)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL(1306)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1306)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1306)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment-RSL(1306)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1306)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1306)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1306)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1306)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1306)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1306)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL(1306)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1306)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_normal_reestablishment": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1309@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1308)@535fab38cf48: setverdict(pass): none -> pass 1309@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1309@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1309@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1309@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1308)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1308)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1309@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1308)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1309@535fab38cf48: Final verdict of PTC: none TC_normal_reestablishment(1308)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_normal_reestablishment": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment(1310)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1311@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1310)@535fab38cf48: setverdict(pass): none -> pass 1311@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1311@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1311@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1311@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1310)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1310)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1311@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1310)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1311@535fab38cf48: Final verdict of PTC: none TC_normal_reestablishment(1310)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_normal_reestablishment": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1313@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1312)@535fab38cf48: setverdict(pass): none -> pass 1313@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1313@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1313@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1313@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1312)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1312)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1313@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1312)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1313@535fab38cf48: Final verdict of PTC: none TC_normal_reestablishment(1312)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_normal_reestablishment": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment(1314)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment(1314)@535fab38cf48: setverdict(pass): none -> pass 1315@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1315@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1315@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1315@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1315@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1314)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := 'CB5F2FE392'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := 'CB5F2FE392'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"" TC_normal_reestablishment(1314)@535fab38cf48: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@535fab38cf48: Test Component 1314 has requested to stop MTC. Terminating current testcase execution. 1315@535fab38cf48: Final verdict of PTC: none TC_normal_reestablishment-RSL(1306)@535fab38cf48: Final verdict of PTC: none TC_normal_reestablishment-RSL-IPA(1305)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1307)@535fab38cf48: Final verdict of PTC: none TC_normal_reestablishment(1314)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := 'CB5F2FE392'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"" MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_normal_reestablishment-RSL-IPA(1305): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_normal_reestablishment-RSL(1306): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1307): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_normal_reestablishment(1308): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1309: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_normal_reestablishment(1310): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1311: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_normal_reestablishment(1312): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1313: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_normal_reestablishment(1314): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := 'CB5F2FE392'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"" MTC@535fab38cf48: Local verdict of PTC with component reference 1315: none (fail -> fail) MTC@535fab38cf48: Test case TC_normal_reestablishment finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := 'CB5F2FE392'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment fail'. Sun Oct 20 07:24:11 UTC 2024 ------ BTS_Tests_LAPDm.TC_normal_reestablishment fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16017874) Waiting for packet dumper to finish... 1 (prev_count=16017874, count=16018371) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked ------ Sun Oct 20 07:24:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_normal_reestablishment_state_unacked started. TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: Established a new IPA connection (conn_id=5) MTC@535fab38cf48: 1/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1320@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1319)@535fab38cf48: setverdict(pass): none -> pass 1320@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1320@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1320@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1320@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1319)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1320@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1319)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1320@535fab38cf48: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1319)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment_state_unacked(1321)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1322@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1321)@535fab38cf48: setverdict(pass): none -> pass 1322@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1322@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1322@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1322@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1321)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1322@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1321)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1322@535fab38cf48: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1321)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1324@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1323)@535fab38cf48: setverdict(pass): none -> pass 1324@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1324@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1324@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1324@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1323)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1324@535fab38cf48: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1323)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed 1324@535fab38cf48: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1323)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment_state_unacked(1325)@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1326@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1325)@535fab38cf48: setverdict(pass): none -> pass 1326@535fab38cf48: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1326@535fab38cf48: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1326@535fab38cf48: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1326@535fab38cf48: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1325)@535fab38cf48: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_normal_reestablishment_state_unacked(1325)@535fab38cf48: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@535fab38cf48: Test Component 1325 has requested to stop MTC. Terminating current testcase execution. 1326@535fab38cf48: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL(1317)@535fab38cf48: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1325)@535fab38cf48: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" IPA-CTRL-CLI-IPA(1318)@535fab38cf48: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL-IPA(1316)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL-IPA(1316): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL(1317): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1318): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_normal_reestablishment_state_unacked(1319): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1320: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_normal_reestablishment_state_unacked(1321): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1322: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_normal_reestablishment_state_unacked(1323): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC with component reference 1324: none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_normal_reestablishment_state_unacked(1325): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" MTC@535fab38cf48: Local verdict of PTC with component reference 1326: none (fail -> fail) MTC@535fab38cf48: Test case TC_normal_reestablishment_state_unacked finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked fail'. Sun Oct 20 07:24:28 UTC 2024 ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked fail ------ Saving talloc report from 172.18.32.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12199629) Waiting for packet dumper to finish... 1 (prev_count=12199629, count=12204802) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked fail' was executed successfully (exit status: 0). MC@535fab38cf48: Test execution finished. MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vff ------ Sun Oct 20 07:24:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_act_vff started. TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff(1330)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1331)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1330)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1330)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vff(1331)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1331)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vff(1332)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1333)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1332)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1332)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vff(1333)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1333)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vff(1334)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1335)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1334)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1334)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vff(1335)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1335)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vff(1336)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1337)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1336)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1336)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vff(1337)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1337)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vff-RSL(1328)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_act_vff-RSL-IPA(1327)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1329)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vff-RSL-IPA(1327): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vff-RSL(1328): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1329): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vff(1330): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vff(1331): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vff(1332): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vff(1333): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vff(1334): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vff(1335): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vff(1336): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vff(1337): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_act_vff finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass'. Sun Oct 20 07:24:35 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2902841) Waiting for packet dumper to finish... 1 (prev_count=2902841, count=2903338) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vhh ------ Sun Oct 20 07:24:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_act_vhh started. TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1341)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1342)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1343)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1344)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1341)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1341)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1342)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1342)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1343)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1343)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1344)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1344)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1345)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1346)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1347)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1348)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1345)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1345)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1346)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1346)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1347)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1347)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1348)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1348)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1349)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1350)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1351)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1352)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1349)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1349)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1350)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1350)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1351)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1351)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1352)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1352)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1353)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1354)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1355)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1356)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1353)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1353)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1354)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1354)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1355)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1355)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1356)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1356)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vhh-RSL(1339)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1340)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_act_vhh-RSL-IPA(1338)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh-RSL-IPA(1338): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh-RSL(1339): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1340): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1341): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1342): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1343): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1344): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1345): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1346): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1347): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1348): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1349): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1350): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1351): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1352): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1353): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1354): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1355): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_vhh(1356): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_act_vhh finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass'. Sun Oct 20 07:24:42 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3155324) Waiting for packet dumper to finish... 1 (prev_count=3155324, count=3156258) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh ------ Sun Oct 20 07:24:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_act_hvhh started. TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1360)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1361)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1362)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1360)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1360)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1361)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1361)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1362)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1362)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1363)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1364)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1365)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1363)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1363)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1364)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1364)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1365)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1365)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1366)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1367)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1368)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1366)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1366)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1367)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1367)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1368)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1368)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1369)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1370)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1371)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1369)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1369)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1370)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1370)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1371)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1371)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_hvhh-RSL(1358)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_act_hvhh-RSL-IPA(1357)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1359)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL-IPA(1357): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL(1358): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1359): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh(1360): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh(1361): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh(1362): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh(1363): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh(1364): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh(1365): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh(1366): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh(1367): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh(1368): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh(1369): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh(1370): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_hvhh(1371): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_act_hvhh finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass'. Sun Oct 20 07:24:49 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3034387) Waiting for packet dumper to finish... 1 (prev_count=3034387, count=3034884) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff ------ Sun Oct 20 07:24:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_act_dyn_ipa_vff started. TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1375)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1376)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1375)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1375)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1376)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1376)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1377)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1378)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1377)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1377)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1378)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1378)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1379)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1380)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1379)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1379)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1380)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1380)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1381)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1382)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1381)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1381)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1382)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1382)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_ipa_vff-RSL(1373)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1374)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1372): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL(1373): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1374): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1375): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1376): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1377): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1378): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1379): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1380): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1381): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1382): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_act_dyn_ipa_vff finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass'. Sun Oct 20 07:24:55 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2935979) Waiting for packet dumper to finish... 1 (prev_count=2935979, count=2936476) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff ------ Sun Oct 20 07:24:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_act_dyn_osmo_vff started. TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff(1386)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1387)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1386)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1386)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1387)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1387)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1388)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1389)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1388)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1388)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1389)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1389)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1390)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1391)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1390)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1390)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1391)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1391)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1392)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1393)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1392)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1392)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1393)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1393)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vff-RSL(1384)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1385)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1383): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL(1384): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1385): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1386): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1387): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1388): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1389): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1390): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1391): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1392): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1393): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_act_dyn_osmo_vff finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass'. Sun Oct 20 07:25:02 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2906666) Waiting for packet dumper to finish... 1 (prev_count=2906666, count=2911839) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh ------ Sun Oct 20 07:25:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_act_dyn_osmo_vhh started. TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh(1397)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1398)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1399)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1400)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1397)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1397)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1398)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1398)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1399)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1399)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1400)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1400)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1401)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1402)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1403)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1404)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1401)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1401)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1402)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1402)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1403)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1403)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1404)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1404)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1405)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1406)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1407)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1408)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1405)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1405)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1406)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1406)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1407)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1407)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1408)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1408)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1409)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1410)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1411)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1412)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1409)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1409)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1410)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1410)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1411)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1411)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1412)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1412)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1396)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1394): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL(1395): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1396): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1397): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1398): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1399): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1400): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1401): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1402): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1403): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1404): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1405): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1406): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1407): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1408): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1409): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1410): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1411): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1412): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_act_dyn_osmo_vhh finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass'. Sun Oct 20 07:25:09 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3105087) Waiting for packet dumper to finish... 1 (prev_count=3105087, count=3105584) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh ------ Sun Oct 20 07:25:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_act_dyn_osmo_hvhh started. TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh(1416)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1417)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1418)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1416)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1416)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1417)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1417)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1418)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1418)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1419)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1420)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1421)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1419)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1419)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1420)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1420)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1421)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1421)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1422)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1423)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1424)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1422)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1422)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1423)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1423)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1424)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1424)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1425)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1426)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1427)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1425)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1425)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1426)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1426)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1427)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1427)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1415)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1413): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1414): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1415): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1416): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1417): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1418): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1419): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1420): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1421): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1422): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1423): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1424): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1425): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1426): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1427): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_act_dyn_osmo_hvhh finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass'. Sun Oct 20 07:25:16 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3020657) Waiting for packet dumper to finish... 1 (prev_count=3020657, count=3021154) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff ------ Sun Oct 20 07:25:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_vff started. TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff(1431)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1431)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1431)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1431)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1432)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1432)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1432)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1432)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1433)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1433)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1433)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1433)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1434)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1434)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1434)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1434)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1435)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1435)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1435)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1435)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1436)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1436)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1436)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1436)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1437)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1437)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1437)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1437)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1438)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1438)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1438)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1438)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vff-RSL(1429)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1430)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_mode_modify_vff-RSL-IPA(1428)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL-IPA(1428): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL(1429): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1430): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1431): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1432): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1433): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1434): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1435): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1436): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1437): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1438): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_vff finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass'. Sun Oct 20 07:25:22 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2142563) Waiting for packet dumper to finish... 1 (prev_count=2142563, count=2148173) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh ------ Sun Oct 20 07:25:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_vhh started. TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1442)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1442)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1442)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1442)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1443)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1443)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1443)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1443)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1444)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1444)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1444)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1444)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1445)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1445)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1445)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1445)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1446)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1446)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1446)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1446)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1447)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1447)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1447)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1447)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1448)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1448)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1448)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1448)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1449)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1449)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1449)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1449)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1450)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1450)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1450)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1450)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1451)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1451)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1451)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1451)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1452)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1452)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1452)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1452)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1453)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1453)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1453)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1453)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1454)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1454)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1454)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1454)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1455)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1455)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1455)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1455)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1456)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1456)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1456)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1456)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1457)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1457)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1457)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1457)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vhh-RSL(1440)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1441)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL-IPA(1439): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL(1440): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1441): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1442): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1443): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1444): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1445): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1446): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1447): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1448): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1449): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1450): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1451): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1452): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1453): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1454): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1455): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1456): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1457): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_vhh finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass'. Sun Oct 20 07:25:28 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2314396) Waiting for packet dumper to finish... 1 (prev_count=2314396, count=2319569) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh ------ Sun Oct 20 07:25:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_hvhh started. TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1461)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1461)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1461)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1461)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1462)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1462)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1462)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1462)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1463)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1463)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1463)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1463)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1464)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1464)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1464)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1464)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1465)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1465)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1465)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1465)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1466)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1466)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1466)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1466)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1467)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1467)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1467)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1467)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1468)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1468)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1468)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1468)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1469)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1469)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1469)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1469)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1470)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1470)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1470)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1470)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1471)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1471)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1471)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1471)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1472)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1472)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1472)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1472)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_hvhh-RSL(1459)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1460)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1458): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL(1459): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1460): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1461): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1462): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1463): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1464): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1465): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1466): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1467): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1468): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1469): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1470): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1471): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1472): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_hvhh finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass'. Sun Oct 20 07:25:33 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2231705) Waiting for packet dumper to finish... 1 (prev_count=2231705, count=2232202) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff ------ Sun Oct 20 07:25:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff started. TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1478)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1478)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1478)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1478)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1479)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1479)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1479)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1479)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1480)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1480)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1480)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1480)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1481)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1481)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1481)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1481)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1482)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1482)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1482)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed MTC@535fab38cf48: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1482)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1483)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1483)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1483)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1483)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1475)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1473): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1474): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1475): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1476): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1477): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1478): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1479): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1480): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1481): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1482): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1483): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass'. Sun Oct 20 07:25:39 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2147074) Waiting for packet dumper to finish... 1 (prev_count=2147074, count=2147571) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff ------ Sun Oct 20 07:25:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff started. TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1489)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1489)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1489)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1489)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1490)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1490)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1490)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1490)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1491)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1491)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1491)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1491)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1492)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1492)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1492)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1492)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1493)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1493)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1493)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1493)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1494)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1494)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1494)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1494)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1486)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1484): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1485): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1486): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1487): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1488): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1489): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1490): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1491): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1492): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1493): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1494): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass'. Sun Oct 20 07:25:45 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2152972) Waiting for packet dumper to finish... 1 (prev_count=2152972, count=2153469) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh ------ Sun Oct 20 07:25:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh started. TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1497)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1495): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1496): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1497): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass'. Sun Oct 20 07:25:51 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2319007) Waiting for packet dumper to finish... 1 (prev_count=2319007, count=2319504) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh ------ Sun Oct 20 07:25:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh started. TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1526)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1526)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1526)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1526)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1527)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1527)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1527)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1527)@535fab38cf48: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1528)@535fab38cf48: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1528)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1528)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1528)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515)@535fab38cf48: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1516)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1514): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1515): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1516): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517): pass (none -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1526): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1527): pass (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1528): pass (pass -> pass) MTC@535fab38cf48: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass'. Sun Oct 20 07:25:56 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2228595) Waiting for packet dumper to finish... 1 (prev_count=2228595, count=2229092) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MC@535fab38cf48: Test execution finished. MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification'. ------ BTS_Tests_ASCI.TC_vbs_notification ------ Sun Oct 20 07:26:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vbs_notification.pcap" >/data/BTS_Tests_ASCI.TC_vbs_notification.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vbs_notification started. TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1530)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1530)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1530)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1530)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1530)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1530)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vbs_notification-RSL(1530)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1530)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vbs_notification-RSL(1530)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1530)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1530)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1530)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1530)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vbs_notification-RSL(1530)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1530)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1530)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E5040083'O MTC@535fab38cf48: Sending RSL NOTIF_CMD (start) MTC@535fab38cf48: Received matching NOTIFICATION/NCH. MTC@535fab38cf48: Received matching NOTIFICATION/NCH. MTC@535fab38cf48: Sending RSL NOTIF_CMD (stop) MTC@535fab38cf48: Not received NOTIFICATION/NCH. (as expected) MTC@535fab38cf48: setverdict(pass): none -> pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":134 TC_vbs_notification-RSL(1530)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1531)@535fab38cf48: Final verdict of PTC: none TC_vbs_notification-RSL-IPA(1529)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: pass MTC@535fab38cf48: Local verdict of PTC TC_vbs_notification-RSL-IPA(1529): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC TC_vbs_notification-RSL(1530): none (pass -> pass) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1531): none (pass -> pass) MTC@535fab38cf48: Test case TC_vbs_notification finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification pass'. Sun Oct 20 07:26:05 UTC 2024 ====== BTS_Tests_ASCI.TC_vbs_notification pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_ASCI.TC_vbs_notification.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5192568) Waiting for packet dumper to finish... 1 (prev_count=5192568, count=5193065) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy'. ------ BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy ------ Sun Oct 20 07:26:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vgcs_uplink_free_and_busy started. TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Activating VGCS channel. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): none -> pass TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Send UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Send UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 2, m := false, el := 1, payload := '062A'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Received UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1535)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":197 TC_vgcs_uplink_free_and_busy-RSL(1533)@535fab38cf48: Final verdict of PTC: none TC_vgcs_uplink_free_and_busy-RSL-IPA(1532)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1534)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL-IPA(1532): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL(1533): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1534): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vgcs_uplink_free_and_busy(1535): pass (none -> pass) MTC@535fab38cf48: Test case TC_vgcs_uplink_free_and_busy finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass'. Sun Oct 20 07:26:11 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2632143) Waiting for packet dumper to finish... 1 (prev_count=2632143, count=2633077) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail'. ------ BTS_Tests_ASCI.TC_vgcs_talker_fail ------ Sun Oct 20 07:26:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vgcs_talker_fail started. TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail(1539)@535fab38cf48: Activating VGCS channel. TC_vgcs_talker_fail(1539)@535fab38cf48: setverdict(pass): none -> pass TC_vgcs_talker_fail(1539)@535fab38cf48: Send UPLINK FREE. TC_vgcs_talker_fail(1539)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_fail(1539)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1539)@535fab38cf48: Received UPLINK FREE. TC_vgcs_talker_fail(1539)@535fab38cf48: Send UPLINK ACCESS. TC_vgcs_talker_fail(1539)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1539)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1539)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 6, m := false, el := 1, payload := '0609C0048800'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++") } } TC_vgcs_talker_fail(1539)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1539)@535fab38cf48: Received VGCS UPLINK GRANT. TC_vgcs_talker_fail(1539)@535fab38cf48: RSL Talker Detect has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_TALKER_DET (53), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_talker_fail(1539)@535fab38cf48: RSL Conn Fail Ind has been detected as expected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CONN_FAIL (36), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_RADIO_LINK_FAIL (1), cause_ext := omit } } } } } TC_vgcs_talker_fail(1539)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1539)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":297 TC_vgcs_talker_fail-RSL(1537)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1538)@535fab38cf48: Final verdict of PTC: none TC_vgcs_talker_fail-RSL-IPA(1536)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vgcs_talker_fail-RSL-IPA(1536): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vgcs_talker_fail-RSL(1537): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1538): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vgcs_talker_fail(1539): pass (none -> pass) MTC@535fab38cf48: Test case TC_vgcs_talker_fail finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail pass'. Sun Oct 20 07:26:32 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_talker_fail pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_fail.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20272524) Waiting for packet dumper to finish... 1 (prev_count=20272524, count=20277697) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel'. ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel ------ Sun Oct 20 07:26:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vgcs_talker_est_rel started. TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 2/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel(1543)@535fab38cf48: Activating VGCS channel. TC_vgcs_talker_est_rel(1543)@535fab38cf48: setverdict(pass): none -> pass TC_vgcs_talker_est_rel(1543)@535fab38cf48: Send UPLINK FREE. TC_vgcs_talker_est_rel(1543)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1543)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1543)@535fab38cf48: Received UPLINK FREE. TC_vgcs_talker_est_rel(1543)@535fab38cf48: Send UPLINK ACCESS. TC_vgcs_talker_est_rel(1543)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1543)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1543)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 6, m := false, el := 1, payload := '0609C0048800'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++") } } TC_vgcs_talker_est_rel(1543)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1543)@535fab38cf48: Received VGCS UPLINK GRANT. TC_vgcs_talker_est_rel(1543)@535fab38cf48: RSL Talker Detect has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_TALKER_DET (53), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_talker_est_rel(1543)@535fab38cf48: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_vgcs_talker_est_rel(1543)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1543)@535fab38cf48: Send UPLINK FREE. TC_vgcs_talker_est_rel(1543)@535fab38cf48: Rx LAPDm { bter := { payload := '0353012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_talker_est_rel(1543)@535fab38cf48: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1543)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1543)@535fab38cf48: Received UPLINK FREE. TC_vgcs_talker_est_rel(1543)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1543)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":340 TC_vgcs_talker_est_rel-RSL(1541)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1542)@535fab38cf48: Final verdict of PTC: none TC_vgcs_talker_est_rel-RSL-IPA(1540)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vgcs_talker_est_rel-RSL-IPA(1540): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vgcs_talker_est_rel-RSL(1541): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1542): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vgcs_talker_est_rel(1543): pass (none -> pass) MTC@535fab38cf48: Test case TC_vgcs_talker_est_rel finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass'. Sun Oct 20 07:26:38 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_est_rel.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2396181) Waiting for packet dumper to finish... 1 (prev_count=2396181, count=2396678) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass' was executed successfully (exit status: 0). MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det'. ------ BTS_Tests_ASCI.TC_vgcs_listener_det ------ Sun Oct 20 07:26:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@535fab38cf48: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det' was executed successfully (exit status: 0). MTC@535fab38cf48: Test case TC_vgcs_listener_det started. TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: Established a new IPA connection (conn_id=2) TC_vgcs_listener_det-RSL(1545)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: Established a new IPA connection (conn_id=3) TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1545)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: Established a new IPA connection (conn_id=4) TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1545)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: Established a new IPA connection (conn_id=5) TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Mapped TRX#0 to TCP/IP conn_id=2 TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@535fab38cf48: 1/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1545)@535fab38cf48: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@535fab38cf48: 1/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Mapped TRX#1 to TCP/IP conn_id=3 MTC@535fab38cf48: 2/4 transceiver(s) connected MTC@535fab38cf48: 2/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Mapped TRX#2 to TCP/IP conn_id=4 MTC@535fab38cf48: 3/4 transceiver(s) connected MTC@535fab38cf48: 3/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D32302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Mapped TRX#3 to TCP/IP conn_id=5 MTC@535fab38cf48: 4/4 transceiver(s) connected MTC@535fab38cf48: 4/4 RF Resource Indication(s) received MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@535fab38cf48: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@535fab38cf48: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det(1547)@535fab38cf48: Activating VGCS channel. TC_vgcs_listener_det(1547)@535fab38cf48: setverdict(pass): none -> pass TC_vgcs_listener_det(1547)@535fab38cf48: Send UPLINK ACCESS. TC_vgcs_listener_det(1547)@535fab38cf48: RSL Talker Listener has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_LISTENER_DET (54), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_listener_det(1547)@535fab38cf48: Send second UPLINK ACCESS. TC_vgcs_listener_det(1547)@535fab38cf48: Timeout waiting for RSL Listener Detect, as expected. TC_vgcs_listener_det(1547)@535fab38cf48: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_listener_det(1547)@535fab38cf48: Final verdict of PTC: pass MTC@535fab38cf48: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":418 TC_vgcs_listener_det-RSL(1545)@535fab38cf48: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1546)@535fab38cf48: Final verdict of PTC: none TC_vgcs_listener_det-RSL-IPA(1544)@535fab38cf48: Final verdict of PTC: none MTC@535fab38cf48: Setting final verdict of the test case. MTC@535fab38cf48: Local verdict of MTC: none MTC@535fab38cf48: Local verdict of PTC TC_vgcs_listener_det-RSL-IPA(1544): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vgcs_listener_det-RSL(1545): none (none -> none) MTC@535fab38cf48: Local verdict of PTC IPA-CTRL-CLI-IPA(1546): none (none -> none) MTC@535fab38cf48: Local verdict of PTC TC_vgcs_listener_det(1547): pass (none -> pass) MTC@535fab38cf48: Test case TC_vgcs_listener_det finished. Verdict: pass MTC@535fab38cf48: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det pass'. Sun Oct 20 07:26:46 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_listener_det pass ====== Saving talloc report from 172.18.32.20:4241 to BTS_Tests_ASCI.TC_vgcs_listener_det.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4537853) Waiting for packet dumper to finish... 1 (prev_count=4537853, count=4538350) MTC@535fab38cf48: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det pass' was executed successfully (exit status: 0). MC@535fab38cf48: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@535fab38cf48: Terminating MTC. MC@535fab38cf48: MTC terminated. MC2> exit MC@535fab38cf48: Shutting down session. MC@535fab38cf48: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-hopping-20.log' -------------------- pass BTS_Tests.TC_est_dchan pass BTS_Tests.TC_chan_act_stress pass BTS_Tests.TC_chan_act_react pass BTS_Tests.TC_chan_deact_not_active pass BTS_Tests.TC_chan_act_wrong_nr pass BTS_Tests.TC_deact_sacch pass BTS_Tests.TC_sacch_filling pass BTS_Tests.TC_sacch_info_mod pass BTS_Tests.TC_sacch_multi pass BTS_Tests.TC_sacch_multi_chg pass BTS_Tests.TC_sacch_chan_act pass BTS_Tests.TC_sacch_chan_act_ho_async pass BTS_Tests.TC_sacch_chan_act_ho_sync pass BTS_Tests.TC_rach_content pass BTS_Tests.TC_rach_content_emerg pass BTS_Tests.TC_rach_count pass BTS_Tests.TC_rach_max_ta pass BTS_Tests.TC_ho_rach xfail BTS_Tests.TC_ho_physical_info pass BTS_Tests.TC_rach_load_idle_thresh0 pass BTS_Tests.TC_rach_load_idle_below_thresh pass BTS_Tests.TC_rach_load_count pass BTS_Tests.TC_meas_res_speech_tchf pass BTS_Tests.TC_meas_res_speech_tchf_facch pass->FAIL BTS_Tests.TC_meas_res_speech_tchh pass->FAIL BTS_Tests.TC_meas_res_speech_tchh_facch pass->FAIL BTS_Tests.TC_meas_res_speech_tchh_toa256 pass->FAIL BTS_Tests.TC_meas_res_sign_tchf pass->FAIL BTS_Tests.TC_meas_res_sign_tchh pass BTS_Tests.TC_meas_res_sign_sdcch4 pass->FAIL BTS_Tests.TC_meas_res_sign_sdcch8 pass->FAIL BTS_Tests.TC_meas_res_sign_tchh_toa256 pass BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass BTS_Tests.TC_tx_power_start_ramp_up_bcch pass BTS_Tests.TC_tx_power_down_bcch pass->FAIL BTS_Tests.TC_tx_power_ramp_adm_state_change pass BTS_Tests.TC_rsl_bs_pwr_static_ass pass BTS_Tests.TC_rsl_bs_pwr_static_power_control pass BTS_Tests.TC_rsl_ms_pwr_ctrl pass BTS_Tests.TC_rsl_ms_pwr_dyn_active pass BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass BTS_Tests.TC_rsl_ms_pwr_dyn_up pass BTS_Tests.TC_rsl_ms_pwr_dyn_down pass BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass BTS_Tests.TC_rsl_ms_pwr_dyn_max pass BTS_Tests.TC_rsl_chan_initial_ms_pwr pass BTS_Tests.TC_rsl_chan_initial_ta pass BTS_Tests.TC_rsl_modify_encr pass BTS_Tests.TC_rsl_rf_resource_ind pass BTS_Tests.TC_conn_fail_crit pass BTS_Tests.TC_paging_imsi_80percent pass BTS_Tests.TC_paging_tmsi_80percent pass BTS_Tests.TC_paging_imsi_200percent pass BTS_Tests.TC_paging_tmsi_200percent pass BTS_Tests.TC_rsl_protocol_error pass BTS_Tests.TC_rsl_mand_ie_error pass BTS_Tests.TC_rsl_ie_content_error pass BTS_Tests.TC_si_sched_default pass BTS_Tests.TC_si_sched_1 pass BTS_Tests.TC_si_sched_2bis pass BTS_Tests.TC_si_sched_2ter pass BTS_Tests.TC_si_sched_2ter_2bis pass BTS_Tests.TC_si_sched_2quater pass BTS_Tests.TC_si_sched_13 pass BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass BTS_Tests.TC_ipa_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_twice_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_sdcch_not_active xfail BTS_Tests.TC_ipa_crcx_ack_addr pass BTS_Tests.TC_paging_imsi_200percent_with_ps pass BTS_Tests.TC_pcu_act_req pass BTS_Tests.TC_pcu_act_req_wrong_ts pass BTS_Tests.TC_pcu_act_req_wrong_bts pass BTS_Tests.TC_pcu_act_req_wrong_trx pass BTS_Tests.TC_pcu_deact_req pass BTS_Tests.TC_pcu_deact_req_wrong_ts pass BTS_Tests.TC_pcu_ver_si1 pass BTS_Tests.TC_pcu_ver_si3 pass BTS_Tests.TC_pcu_ver_si13 pass BTS_Tests.TC_pcu_data_req_pdtch pass BTS_Tests.TC_pcu_data_req_ptcch pass BTS_Tests.TC_pcu_data_req_wrong_bts pass BTS_Tests.TC_pcu_data_req_wrong_trx pass BTS_Tests.TC_pcu_data_req_wrong_ts pass BTS_Tests.TC_pcu_data_req_ts_inactive pass->FAIL BTS_Tests.TC_pcu_ptcch pass BTS_Tests.TC_pcu_data_req_agch pass BTS_Tests.TC_pcu_data_req_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_agch pass BTS_Tests.TC_pcu_rach_content pass BTS_Tests.TC_pcu_ext_rach_content pass->FAIL BTS_Tests.TC_pcu_data_ind_lqual_cb pass BTS_Tests.TC_pcu_paging_from_rsl pass BTS_Tests.TC_pcu_time_ind pass BTS_Tests.TC_pcu_rts_req xfail BTS_Tests.TC_pcu_oml_alert pass BTS_Tests.TC_pcu_rr_suspend pass BTS_Tests.TC_pcu_socket_connect_multi pass BTS_Tests.TC_pcu_socket_reconnect pass BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_connect_si3gprs pass BTS_Tests.TC_pcu_socket_connect_si4gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_verify_info_ind pass BTS_Tests.TC_dyn_osmo_pdch_act_deact pass BTS_Tests.TC_dyn_osmo_pdch_double_act pass BTS_Tests.TC_dyn_ipa_pdch_act_deact pass BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass BTS_Tests.TC_pcu_info_ind_fh_params pass BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass BTS_Tests.TC_pcu_socket_two_nsvc pass BTS_Tests.TC_pcu_interf_ind pass BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass BTS_Tests.TC_rll_est_ind pass BTS_Tests.TC_rll_est_req_DCCH_3 pass BTS_Tests.TC_rll_est_req_ACCH_3 pass BTS_Tests.TC_rll_rel_ind_DCCH_0 pass BTS_Tests.TC_rll_rel_ind_DCCH_3 pass->FAIL BTS_Tests.TC_rll_rel_ind_ACCH_0 pass->FAIL BTS_Tests.TC_rll_rel_ind_ACCH_3 pass->FAIL BTS_Tests.TC_rll_rel_req pass BTS_Tests.TC_rll_unit_data_req_DCCH pass BTS_Tests.TC_rll_unit_data_req_ACCH pass BTS_Tests.TC_rll_unit_data_ind_DCCH pass BTS_Tests.TC_rll_unit_data_ind_ACCH pass BTS_Tests.TC_chan_act_a51 pass BTS_Tests.TC_chan_act_a52 pass BTS_Tests.TC_chan_act_a53 pass BTS_Tests.TC_chan_act_a54 pass BTS_Tests.TC_encr_cmd_a51 pass BTS_Tests.TC_encr_cmd_a52 pass BTS_Tests.TC_encr_cmd_a53 pass BTS_Tests.TC_encr_cmd_a54 pass BTS_Tests.TC_err_rep_wrong_mdisc pass BTS_Tests.TC_err_rep_wrong_msg_type pass BTS_Tests.TC_err_rep_wrong_sequence pass BTS_Tests.TC_lapdm_selftest pass BTS_Tests.TC_tch_sign_l2_fill_frame xfail BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd pass BTS_Tests.TC_chopped_ipa_ping pass BTS_Tests.TC_chopped_ipa_payload pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_constant pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_pf_ewma pass BTS_Tests.TC_speech_no_rtp_tchf pass BTS_Tests.TC_speech_no_rtp_tchh pass BTS_Tests.TC_speech_rtp_tchf pass BTS_Tests.TC_speech_rtp_tchh pass BTS_Tests.TC_speech_osmux_tchf pass BTS_Tests.TC_speech_osmux_tchh xfail BTS_Tests.TC_data_rtp_tchf144 pass BTS_Tests.TC_data_rtp_tchf96 pass BTS_Tests.TC_data_rtp_tchf48 pass BTS_Tests.TC_data_rtp_tchh48 pass BTS_Tests.TC_data_rtp_tchf24 pass BTS_Tests.TC_data_rtp_tchh24 pass BTS_Tests.TC_early_immediate_assignment pass->FAIL BTS_Tests.TC_acch_overpower_rxqual_thresh pass->FAIL BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass->FAIL BTS_Tests.TC_acch_overpower_always_on_facch pass->FAIL BTS_Tests.TC_acch_overpower_always_on_sacch pass->FAIL BTS_Tests.TC_acch_overpower_limit pass BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass BTS_Tests_SMSCB.TC_etws_p1ro pass BTS_Tests_SMSCB.TC_etws_p1ro_end pass BTS_Tests_SMSCB.TC_etws_pcu pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass BTS_Tests_LAPDm.TC_sabm_contention pass BTS_Tests_LAPDm.TC_sabm_retransmit pass BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass BTS_Tests_LAPDm.TC_sabm_invalid_resp pass BTS_Tests_LAPDm.TC_sabm_dm pass BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass->FAIL BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass->FAIL BTS_Tests_LAPDm.TC_iframe_timer_recovery pass->FAIL BTS_Tests_LAPDm.TC_ns_seq_error pass BTS_Tests_LAPDm.TC_nr_seq_error pass->FAIL BTS_Tests_LAPDm.TC_rec_invalid_frame pass->FAIL BTS_Tests_LAPDm.TC_segm_concat_dcch pass->FAIL BTS_Tests_LAPDm.TC_segm_concat_sacch pass->FAIL BTS_Tests_LAPDm.TC_t200_n200 pass->FAIL BTS_Tests_LAPDm.TC_rr_response_frame_loss pass BTS_Tests_LAPDm.TC_incorrect_cr pass->FAIL BTS_Tests_LAPDm.TC_sabm_incorrect_c pass->FAIL BTS_Tests_LAPDm.TC_normal_reestablishment pass->FAIL BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass BTS_Tests_ASCI.TC_vbs_notification pass BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass BTS_Tests_ASCI.TC_vgcs_talker_fail pass BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass BTS_Tests_ASCI.TC_vgcs_listener_det Summary: pass->FAIL: 31 xfail: 5 pass: 206 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_acch_overpower_always_on_facch.merged Generated BTS_Tests.TC_acch_overpower_always_on_sacch.merged Generated BTS_Tests.TC_acch_overpower_limit.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.merged Generated BTS_Tests.TC_cbc_sdcch4_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch4_load_overload.merged Generated BTS_Tests.TC_cbc_sdcch8_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch8_load_overload.merged Generated BTS_Tests.TC_cbch_load_idle_no_cbch.merged Generated BTS_Tests.TC_chan_act_a51.merged Generated BTS_Tests.TC_chan_act_a52.merged Generated BTS_Tests.TC_chan_act_a53.merged Generated BTS_Tests.TC_chan_act_a54.merged Generated BTS_Tests.TC_chan_act_react.merged Generated BTS_Tests.TC_chan_act_stress.merged Generated BTS_Tests.TC_chan_act_wrong_nr.merged Generated BTS_Tests.TC_chan_deact_not_active.merged Generated BTS_Tests.TC_chopped_ipa_payload.merged Generated BTS_Tests.TC_chopped_ipa_ping.merged Generated BTS_Tests.TC_conn_fail_crit.merged Generated BTS_Tests.TC_data_rtp_tchf144.merged Generated BTS_Tests.TC_data_rtp_tchf24.merged Generated BTS_Tests.TC_data_rtp_tchf48.merged Generated BTS_Tests.TC_data_rtp_tchf96.merged Generated BTS_Tests.TC_data_rtp_tchh24.merged Generated BTS_Tests.TC_data_rtp_tchh48.merged Generated BTS_Tests.TC_deact_sacch.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.merged Generated BTS_Tests.TC_dyn_osmo_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_osmo_pdch_double_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.merged Generated BTS_Tests.TC_early_immediate_assignment.merged Generated BTS_Tests.TC_encr_cmd_a51.merged Generated BTS_Tests.TC_encr_cmd_a52.merged Generated BTS_Tests.TC_encr_cmd_a53.merged Generated BTS_Tests.TC_encr_cmd_a54.merged Generated BTS_Tests.TC_err_rep_wrong_mdisc.merged Generated BTS_Tests.TC_err_rep_wrong_msg_type.merged Generated BTS_Tests.TC_err_rep_wrong_sequence.merged Generated BTS_Tests.TC_est_dchan.merged Generated BTS_Tests.TC_establish_ign_first_sabm.merged Generated BTS_Tests.TC_etws_p1ro.merged Generated BTS_Tests.TC_etws_p1ro_end.merged Generated BTS_Tests.TC_etws_pcu.merged Generated BTS_Tests.TC_ho_physical_info.merged Generated BTS_Tests.TC_ho_rach.merged Generated BTS_Tests.TC_iframe_seq_and_ack.merged Generated BTS_Tests.TC_iframe_timer_recovery.merged Generated BTS_Tests.TC_incorrect_cr.merged Generated BTS_Tests.TC_ipa_crcx_ack_addr.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_sdcch_not_active.merged Generated BTS_Tests.TC_ipa_crcx_twice_not_active.merged Generated BTS_Tests.TC_ipa_dlcx_not_active.merged Generated BTS_Tests.TC_lapdm_selftest.merged Generated BTS_Tests.TC_meas_res_sign_sdcch4.merged Generated BTS_Tests.TC_meas_res_sign_sdcch8.merged Generated BTS_Tests.TC_meas_res_sign_tchf.merged Generated BTS_Tests.TC_meas_res_sign_tchh.merged Generated BTS_Tests.TC_meas_res_sign_tchh_toa256.merged Generated BTS_Tests.TC_meas_res_speech_tchf.merged Generated BTS_Tests.TC_meas_res_speech_tchf_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchf_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh.merged Generated BTS_Tests.TC_meas_res_speech_tchh_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchh_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh_toa256.merged Generated BTS_Tests.TC_ms_pwr_ctrl_constant.merged Generated BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.merged Generated BTS_Tests.TC_normal_reestablishment.merged Generated BTS_Tests.TC_normal_reestablishment_state_unacked.merged Generated BTS_Tests.TC_nr_seq_error.merged Generated BTS_Tests.TC_ns_seq_error.merged Generated BTS_Tests.TC_paging_imsi_200percent.merged Generated BTS_Tests.TC_paging_imsi_200percent_with_ps.merged Generated BTS_Tests.TC_paging_imsi_80percent.merged Generated BTS_Tests.TC_paging_tmsi_200percent.merged Generated BTS_Tests.TC_paging_tmsi_80percent.merged Generated BTS_Tests.TC_pcu_act_req.merged Generated BTS_Tests.TC_pcu_act_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_act_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_act_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_data_ind_lqual_cb.merged Generated BTS_Tests.TC_pcu_data_req_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_pch.merged Generated BTS_Tests.TC_pcu_data_req_pch.merged Generated BTS_Tests.TC_pcu_data_req_pdtch.merged Generated BTS_Tests.TC_pcu_data_req_ptcch.merged Generated BTS_Tests.TC_pcu_data_req_ts_inactive.merged Generated BTS_Tests.TC_pcu_data_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_data_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_data_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_deact_req.merged Generated BTS_Tests.TC_pcu_deact_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_ext_rach_content.merged Generated BTS_Tests.TC_pcu_info_ind_fh_params.merged Generated BTS_Tests.TC_pcu_interf_ind.merged Generated BTS_Tests.TC_pcu_oml_alert.merged Generated BTS_Tests.TC_pcu_paging_from_rsl.merged Generated BTS_Tests.TC_pcu_ptcch.merged Generated BTS_Tests.TC_pcu_rach_content.merged Generated BTS_Tests.TC_pcu_rr_suspend.merged Generated BTS_Tests.TC_pcu_rts_req.merged Generated BTS_Tests.TC_pcu_socket_connect_multi.merged Generated BTS_Tests.TC_pcu_socket_connect_si3gprs.merged Generated BTS_Tests.TC_pcu_socket_connect_si4gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv4.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv6.merged Generated BTS_Tests.TC_pcu_socket_reconnect.merged Generated BTS_Tests.TC_pcu_socket_two_nsvc.merged Generated BTS_Tests.TC_pcu_socket_verify_info_ind.merged Generated BTS_Tests.TC_pcu_time_ind.merged Generated BTS_Tests.TC_pcu_ver_si1.merged Generated BTS_Tests.TC_pcu_ver_si13.merged Generated BTS_Tests.TC_pcu_ver_si3.merged Generated BTS_Tests.TC_rach_content.merged Generated BTS_Tests.TC_rach_content_emerg.merged Generated BTS_Tests.TC_rach_count.merged Generated BTS_Tests.TC_rach_load_count.merged Generated BTS_Tests.TC_rach_load_idle_below_thresh.merged Generated BTS_Tests.TC_rach_load_idle_thresh0.merged Generated BTS_Tests.TC_rach_max_ta.merged Generated BTS_Tests.TC_rec_invalid_frame.merged Generated BTS_Tests.TC_rll_est_ind.merged Generated BTS_Tests.TC_rll_est_req_ACCH_3.merged Generated BTS_Tests.TC_rll_est_req_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_req.merged Generated BTS_Tests.TC_rll_unit_data_ind_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_ind_DCCH.merged Generated BTS_Tests.TC_rll_unit_data_req_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_req_DCCH.merged Generated BTS_Tests.TC_rr_response_frame_loss.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_ass.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_power_control.merged Generated BTS_Tests.TC_rsl_chan_initial_ms_pwr.merged Generated BTS_Tests.TC_rsl_chan_initial_ta.merged Generated BTS_Tests.TC_rsl_ie_content_error.merged Generated BTS_Tests.TC_rsl_mand_ie_error.merged Generated BTS_Tests.TC_rsl_modify_encr.merged Generated BTS_Tests.TC_rsl_ms_pwr_ctrl.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active2.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_down.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_max.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_up.merged Generated BTS_Tests.TC_rsl_protocol_error.merged Generated BTS_Tests.TC_rsl_rf_resource_ind.merged Generated BTS_Tests.TC_sabm_contention.merged Generated BTS_Tests.TC_sabm_dm.merged Generated BTS_Tests.TC_sabm_incorrect_c.merged Generated BTS_Tests.TC_sabm_invalid_resp.merged Generated BTS_Tests.TC_sabm_retransmit.merged Generated BTS_Tests.TC_sabm_retransmit_bts.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0_nopayload.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi3.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi4.merged Generated BTS_Tests.TC_sacch_chan_act.merged Generated BTS_Tests.TC_sacch_chan_act_ho_async.merged Generated BTS_Tests.TC_sacch_chan_act_ho_sync.merged Generated BTS_Tests.TC_sacch_filling.merged Generated BTS_Tests.TC_sacch_info_mod.merged Generated BTS_Tests.TC_sacch_multi.merged Generated BTS_Tests.TC_sacch_multi_chg.merged Generated BTS_Tests.TC_segm_concat_dcch.merged Generated BTS_Tests.TC_segm_concat_sacch.merged Generated BTS_Tests.TC_si_sched_1.merged Generated BTS_Tests.TC_si_sched_13.merged Generated BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.merged Generated BTS_Tests.TC_si_sched_2bis.merged Generated BTS_Tests.TC_si_sched_2quater.merged Generated BTS_Tests.TC_si_sched_2ter.merged Generated BTS_Tests.TC_si_sched_2ter_2bis.merged Generated BTS_Tests.TC_si_sched_default.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_then_null.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_schedule.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_schedule.merged Generated BTS_Tests.TC_speech_no_rtp_tchf.merged Generated BTS_Tests.TC_speech_no_rtp_tchh.merged Generated BTS_Tests.TC_speech_osmux_tchf.merged Generated BTS_Tests.TC_speech_osmux_tchh.merged Generated BTS_Tests.TC_speech_rtp_tchf.merged Generated BTS_Tests.TC_speech_rtp_tchh.merged Generated BTS_Tests.TC_t200_n200.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.merged Generated BTS_Tests.TC_tx_power_down_bcch.merged Generated BTS_Tests.TC_tx_power_ramp_adm_state_change.merged Generated BTS_Tests.TC_tx_power_start_ramp_up_bcch.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_act_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_vff.merged Generated BTS_Tests.TC_vamos_chan_act_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vhh.merged Generated BTS_Tests.TC_vbs_notification.merged Generated BTS_Tests.TC_vgcs_listener_det.merged Generated BTS_Tests.TC_vgcs_talker_est_rel.merged Generated BTS_Tests.TC_vgcs_talker_fail.merged Generated BTS_Tests.TC_vgcs_uplink_free_and_busy.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-2556-trxcon + docker kill jenkins-ttcn3-bts-test-2556-trxcon jenkins-ttcn3-bts-test-2556-trxcon + docker wait jenkins-ttcn3-bts-test-2556-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-2556-fake_trx + docker kill jenkins-ttcn3-bts-test-2556-fake_trx jenkins-ttcn3-bts-test-2556-fake_trx + docker wait jenkins-ttcn3-bts-test-2556-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-2556-bsc + docker kill jenkins-ttcn3-bts-test-2556-bsc jenkins-ttcn3-bts-test-2556-bsc + docker wait jenkins-ttcn3-bts-test-2556-bsc 137 + docker_kill_wait jenkins-ttcn3-bts-test-2556-bts + docker kill jenkins-ttcn3-bts-test-2556-bts jenkins-ttcn3-bts-test-2556-bts + docker wait jenkins-ttcn3-bts-test-2556-bts 137 + clean_up_common + set +e + set +x ### Clean up ### + trap - EXIT INT TERM 0 + type clean_up + clean_up + test_config_enabled hopping + local config=hopping + local i + local valid=0 + [ hopping = generic ] + [ hopping = virtphy ] + [ hopping = oml ] + [ hopping = hopping ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ hopping = generic ] + [ hopping = oml ] + [ hopping = hopping ] + return 0 + sed -i s/classname='\([^']\+\)'/classname='\1:hopping'/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/junit-xml-hopping-20.log + network_clean + docker network inspect ttcn3-bts-test-32 + grep Name + cut -d : -f2 + awk -F" NR>1{print $2} + local containers= + [ -n ] + network_remove + set +x Removing network ttcn3-bts-test-32 + docker network remove ttcn3-bts-test-32 ttcn3-bts-test-32 + rm -rf /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/unix + fix_perms + set +x Fixing permissions + id -u + id -g + docker run --rm -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/_cache:/cache --name jenkins-ttcn3-bts-test-2556-cleaner debian:bookworm sh -e -x -c chmod -R a+rX /data/ /cache/ chown -R 1000:1000 /data /cache + chmod -R a+rX /data/ /cache/ + chown -R 1000:1000 /data /cache + collect_logs + cat /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-generic/junit-xml-21.log /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-hopping/junit-xml-hopping-20.log /home/osmocom-build/jenkins/workspace/ttcn3-bts-test/logs/bts-tester-oml/junit-xml-oml-21.log <?xml version="1.0"?> <testsuite name='Titan' tests='242' failures='7' errors='0' skipped='0' inconc='0' time='3983.00'> <testcase classname='BTS_Tests' name='TC_est_dchan' time='15.321357'/> <testcase classname='BTS_Tests' name='TC_chan_act_stress' time='2.875205'/> <testcase classname='BTS_Tests' name='TC_chan_act_react' time='1.114512'/> <testcase classname='BTS_Tests' name='TC_chan_deact_not_active' time='1.216625'/> <testcase classname='BTS_Tests' name='TC_chan_act_wrong_nr' time='1.446272'/> <testcase classname='BTS_Tests' name='TC_deact_sacch' time='90.523815'/> <testcase classname='BTS_Tests' name='TC_sacch_filling' time='14.252124'/> <testcase classname='BTS_Tests' name='TC_sacch_info_mod' time='29.589534'/> <testcase classname='BTS_Tests' name='TC_sacch_multi' time='85.603245'/> <testcase classname='BTS_Tests' name='TC_sacch_multi_chg' time='94.265680'/> <testcase classname='BTS_Tests' name='TC_sacch_chan_act' time='29.288500'/> <testcase classname='BTS_Tests' name='TC_sacch_chan_act_ho_async' time='29.790876'/> <testcase classname='BTS_Tests' name='TC_sacch_chan_act_ho_sync' time='47.539558'/> <testcase classname='BTS_Tests' name='TC_rach_content' time='14.295369'/> <testcase classname='BTS_Tests' name='TC_rach_content_emerg' time='9.764602'/> <testcase classname='BTS_Tests' name='TC_rach_count' time='13.854583'/> <testcase classname='BTS_Tests' name='TC_rach_max_ta' time='10.671730'/> <testcase classname='BTS_Tests' name='TC_ho_rach' time='4.030491'/> <testcase classname='BTS_Tests' name='TC_ho_physical_info' time='3.234663'> <failure type='fail-verdict'>Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } BTS_Tests.ttcn:9271 BTS_Tests control part BTS_Tests.ttcn:2133 TC_ho_physical_info testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_rach_load_idle_thresh0' time='11.691673'/> <testcase classname='BTS_Tests' name='TC_rach_load_idle_below_thresh' time='6.147789'/> <testcase classname='BTS_Tests' name='TC_rach_load_count' time='20.926614'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchf' time='9.027574'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchf_facch' time='9.115603'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh' time='17.180681'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh_facch' time='17.064164'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh_toa256' time='17.030047'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_tchf' time='33.164751'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_tchh' time='16.791136'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_sdcch4' time='33.207727'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_sdcch8' time='65.305799'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_tchh_toa256' time='21.524493'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchf_sapi3' time='8.990527'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh_sapi3' time='9.117411'/> <testcase classname='BTS_Tests' name='TC_tx_power_start_ramp_up_bcch' time='7.705344'/> <testcase classname='BTS_Tests' name='TC_tx_power_down_bcch' time='10.796762'/> <testcase classname='BTS_Tests' name='TC_tx_power_ramp_adm_state_change' time='21.175994'/> <testcase classname='BTS_Tests' name='TC_rsl_bs_pwr_static_ass' time='1.814734'/> <testcase classname='BTS_Tests' name='TC_rsl_bs_pwr_static_power_control' time='2.034780'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_ctrl' time='62.573520'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_active' time='15.707808'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_active2' time='11.451123'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_up' time='20.671342'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_down' time='11.326102'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_ass_updown' time='7.685214'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_max' time='11.137235'/> <testcase classname='BTS_Tests' name='TC_rsl_chan_initial_ms_pwr' time='2.777953'/> <testcase classname='BTS_Tests' name='TC_rsl_chan_initial_ta' time='1.594865'/> <testcase classname='BTS_Tests' name='TC_rsl_modify_encr' time='14.149752'/> <testcase classname='BTS_Tests' name='TC_rsl_rf_resource_ind' time='14.853630'/> <testcase classname='BTS_Tests' name='TC_conn_fail_crit' time='17.643956'/> <testcase classname='BTS_Tests' name='TC_paging_imsi_80percent' time='22.430562'/> <testcase classname='BTS_Tests' name='TC_paging_tmsi_80percent' time='22.417306'/> <testcase classname='BTS_Tests' name='TC_paging_imsi_200percent' time='34.400279'/> <testcase classname='BTS_Tests' name='TC_paging_tmsi_200percent' time='28.215791'/> <testcase classname='BTS_Tests' name='TC_rsl_protocol_error' time='0.816992'/> <testcase classname='BTS_Tests' name='TC_rsl_mand_ie_error' time='1.203910'/> <testcase classname='BTS_Tests' name='TC_rsl_ie_content_error' time='1.209089'/> <testcase classname='BTS_Tests' name='TC_si_sched_default' time='9.244144'/> <testcase classname='BTS_Tests' name='TC_si_sched_1' time='9.141493'/> <testcase classname='BTS_Tests' name='TC_si_sched_2bis' time='9.139763'/> <testcase classname='BTS_Tests' name='TC_si_sched_2ter' time='9.129149'/> <testcase classname='BTS_Tests' name='TC_si_sched_2ter_2bis' time='9.149552'/> <testcase classname='BTS_Tests' name='TC_si_sched_2quater' time='17.158814'/> <testcase classname='BTS_Tests' name='TC_si_sched_13' time='9.047434'/> <testcase classname='BTS_Tests' name='TC_si_sched_13_2bis_2ter_2quater' time='17.157004'/> <testcase classname='BTS_Tests' name='TC_ipa_dlcx_not_active' time='1.023309'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_twice_not_active' time='1.228330'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_mdcx_dlcx_not_active' time='1.226493'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' time='1.226445'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_sdcch_not_active' time='1.238401'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_ack_addr' time='1.242047'> <failure type='fail-verdict'>CRCX ACK indicates nonsense addr "0.0.0.0" BTS_Tests.ttcn:9324 BTS_Tests control part BTS_Tests.ttcn:5292 TC_ipa_crcx_ack_addr testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_paging_imsi_200percent_with_ps' time='34.688462'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req' time='3.752340'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req_wrong_ts' time='7.193881'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req_wrong_bts' time='7.168392'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req_wrong_trx' time='7.174589'/> <testcase classname='BTS_Tests' name='TC_pcu_deact_req' time='9.187171'/> <testcase classname='BTS_Tests' name='TC_pcu_deact_req_wrong_ts' time='5.158315'/> <testcase classname='BTS_Tests' name='TC_pcu_ver_si1' time='1.189790'/> <testcase classname='BTS_Tests' name='TC_pcu_ver_si3' time='1.215952'/> <testcase classname='BTS_Tests' name='TC_pcu_ver_si13' time='1.209620'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_pdtch' time='4.296640'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_ptcch' time='4.873471'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_wrong_bts' time='9.208131'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_wrong_trx' time='9.156553'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_wrong_ts' time='9.150527'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_ts_inactive' time='6.135158'/> <testcase classname='BTS_Tests' name='TC_pcu_ptcch' time='6.768293'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_agch' time='4.206781'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_pch' time='4.229844'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_imm_ass_pch' time='1.428593'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_imm_ass_agch' time='1.418493'/> <testcase classname='BTS_Tests' name='TC_pcu_rach_content' time='9.927718'/> <testcase classname='BTS_Tests' name='TC_pcu_ext_rach_content' time='9.797684'/> <testcase classname='BTS_Tests' name='TC_pcu_data_ind_lqual_cb' time='4.630871'/> <testcase classname='BTS_Tests' name='TC_pcu_paging_from_rsl' time='1.277728'/> <testcase classname='BTS_Tests' name='TC_pcu_time_ind' time='9.007261'/> <testcase classname='BTS_Tests' name='TC_pcu_rts_req' time='9.184148'/> <testcase classname='BTS_Tests' name='TC_pcu_oml_alert' time='4.186727'> <failure type='fail-verdict'>Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration BTS_Tests.ttcn:9356 BTS_Tests control part BTS_Tests.ttcn:6206 TC_pcu_oml_alert testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_pcu_rr_suspend' time='1.601879'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_connect_multi' time='1.194593'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_reconnect' time='3.207884'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_noconnect_nosi3gprs' time='3.961894'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_noconnect_nosi4gprs' time='3.241025'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_connect_si3gprs' time='3.958649'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_connect_si4gprs' time='3.244652'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_disconnect_nosi3gprs' time='4.886839'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_disconnect_nosi4gprs' time='5.109554'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_verify_info_ind' time='3.164304'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_act_deact' time='4.230808'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_double_act' time='1.201646'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_act_deact' time='4.234205'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_act_tchf_act_nack' time='1.221124'/> <testcase classname='BTS_Tests' name='TC_pcu_info_ind_fh_params' time='1.214484'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_nsvc_ipv4' time='1.204264'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_nsvc_ipv6' time='1.214305'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_two_nsvc' time='1.217004'/> <testcase classname='BTS_Tests' name='TC_pcu_interf_ind' time='6.414343'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_unsol_deact' time='1.169645'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_tchf_act' time='1.228616'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_tchh_act' time='1.217168'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_sdcch8_act' time='1.238040'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_tchh_race_act' time='2.829566'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_sdcch8_race_act' time='2.823588'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_tchf_act' time='1.212762'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' time='1.214063'/> <testcase classname='BTS_Tests' name='TC_rll_est_ind' time='43.361268'/> <testcase classname='BTS_Tests' name='TC_rll_est_req_DCCH_3' time='6.083687'/> <testcase classname='BTS_Tests' name='TC_rll_est_req_ACCH_3' time='2.922945'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_DCCH_0' time='2.446766'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_DCCH_3' time='2.458448'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_ACCH_0' time='8.632410'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_ACCH_3' time='8.529721'/> <testcase classname='BTS_Tests' name='TC_rll_rel_req' time='20.205398'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_req_DCCH' time='2.123230'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_req_ACCH' time='4.795466'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_ind_DCCH' time='2.419259'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_ind_ACCH' time='8.630223'/> <testcase classname='BTS_Tests' name='TC_chan_act_a51' time='1.891480'/> <testcase classname='BTS_Tests' name='TC_chan_act_a52' time='1.992418'/> <testcase classname='BTS_Tests' name='TC_chan_act_a53' time='1.988115'/> <testcase classname='BTS_Tests' name='TC_chan_act_a54' time='1.987044'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a51' time='4.268487'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a52' time='4.233590'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a53' time='4.239383'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a54' time='4.213013'/> <testcase classname='BTS_Tests' name='TC_err_rep_wrong_mdisc' time='1.177564'/> <testcase classname='BTS_Tests' name='TC_err_rep_wrong_msg_type' time='1.249890'/> <testcase classname='BTS_Tests' name='TC_err_rep_wrong_sequence' time='1.229877'/> <testcase classname='BTS_Tests' name='TC_lapdm_selftest' time='0.003266'/> <testcase classname='BTS_Tests' name='TC_tch_sign_l2_fill_frame' time='18.377579'/> <testcase classname='BTS_Tests' name='TC_tch_sign_l2_fill_frame_dtxd' time='2.032242'> <failure type='fail-verdict'>Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) BTS_Tests.ttcn:9418 BTS_Tests control part BTS_Tests.ttcn:8056 TC_tch_sign_l2_fill_frame_dtxd testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_chopped_ipa_ping' time='24.670657'/> <testcase classname='BTS_Tests' name='TC_chopped_ipa_payload' time='42.557839'/> <testcase classname='BTS_Tests' name='TC_ms_pwr_ctrl_constant' time='16.280718'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9423 BTS_Tests control part BTS_Tests.ttcn:8193 TC_ms_pwr_ctrl_constant testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_ms_pwr_ctrl_pf_ewma' time='31.339679'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9424 BTS_Tests control part BTS_Tests.ttcn:8260 TC_ms_pwr_ctrl_pf_ewma testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_speech_no_rtp_tchf' time='7.202572'/> <testcase classname='BTS_Tests' name='TC_speech_no_rtp_tchh' time='5.394247'/> <testcase classname='BTS_Tests' name='TC_speech_rtp_tchf' time='7.511223'/> <testcase classname='BTS_Tests' name='TC_speech_rtp_tchh' time='5.366807'/> <testcase classname='BTS_Tests' name='TC_speech_osmux_tchf' time='3.320551'/> <testcase classname='BTS_Tests' name='TC_speech_osmux_tchh' time='3.372227'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf144' time='1.260210'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests.ttcn:9433 BTS_Tests control part BTS_Tests.ttcn:8699 TC_data_rtp_tchf144 testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf96' time='2.132586'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf48' time='2.111515'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchh48' time='2.343174'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf24' time='1.771200'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchh24' time='2.355679'/> <testcase classname='BTS_Tests' name='TC_early_immediate_assignment' time='3.517823'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_rxqual_thresh' time='21.615498'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_rxqual_thresh_dtx' time='13.778777'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_always_on_facch' time='12.436643'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_always_on_sacch' time='11.970699'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_limit' time='12.002663'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbch_load_idle_no_cbch' time='11.095606'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_1block' time='14.219493'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_2block' time='14.160005'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_3block' time='14.170714'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_4block' time='14.167598'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_multi' time='20.173558'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_schedule' time='14.078269'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_default_only' time='16.160129'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_default_and_normal' time='17.142861'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_default_then_null' time='26.128813'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch4_load_idle' time='15.991908'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch4_load_overload' time='18.173265'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_1block' time='14.177672'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_2block' time='14.163197'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_3block' time='14.126833'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_4block' time='14.170722'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_multi' time='20.168302'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_schedule' time='14.070294'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_default_only' time='16.174998'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_default_and_normal' time='17.141268'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch8_load_idle' time='16.081385'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch8_load_overload' time='18.171685'/> <testcase classname='BTS_Tests_SMSCB' name='TC_etws_p1ro' time='12.141210'/> <testcase classname='BTS_Tests_SMSCB' name='TC_etws_p1ro_end' time='16.110087'/> <testcase classname='BTS_Tests_SMSCB' name='TC_etws_pcu' time='1.062368'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi0' time='2.407103'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi0_nopayload' time='21.459436'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi3' time='21.213402'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi4' time='21.196698'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_contention' time='21.192844'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_retransmit' time='3.252066'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_retransmit_bts' time='81.426321'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_invalid_resp' time='22.188090'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_dm' time='13.911888'/> <testcase classname='BTS_Tests_LAPDm' name='TC_establish_ign_first_sabm' time='7.853362'/> <testcase classname='BTS_Tests_LAPDm' name='TC_iframe_seq_and_ack' time='14.341553'/> <testcase classname='BTS_Tests_LAPDm' name='TC_iframe_timer_recovery' time='6.654737'/> <testcase classname='BTS_Tests_LAPDm' name='TC_ns_seq_error' time='13.122524'/> <testcase classname='BTS_Tests_LAPDm' name='TC_nr_seq_error' time='2.923371'/> <testcase classname='BTS_Tests_LAPDm' name='TC_rec_invalid_frame' time='137.861900'/> <testcase classname='BTS_Tests_LAPDm' name='TC_segm_concat_dcch' time='14.771090'/> <testcase classname='BTS_Tests_LAPDm' name='TC_segm_concat_sacch' time='59.628796'/> <testcase classname='BTS_Tests_LAPDm' name='TC_t200_n200' time='40.153587'/> <testcase classname='BTS_Tests_LAPDm' name='TC_rr_response_frame_loss' time='3.250247'/> <testcase classname='BTS_Tests_LAPDm' name='TC_incorrect_cr' time='15.274202'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_incorrect_c' time='4.044115'/> <testcase classname='BTS_Tests_LAPDm' name='TC_normal_reestablishment' time='21.884756'/> <testcase classname='BTS_Tests_LAPDm' name='TC_normal_reestablishment_state_unacked' time='14.218075'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_vff' time='2.305062'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_vhh' time='2.568728'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_hvhh' time='2.543566'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_ipa_vff' time='2.508989'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_osmo_vff' time='2.513872'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_osmo_vhh' time='2.585092'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_osmo_hvhh' time='2.540010'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_vff' time='1.303721'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_vhh' time='1.395149'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_hvhh' time='1.354018'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_ipa_vff' time='1.326619'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_osmo_vff' time='1.300010'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_osmo_vhh' time='1.397863'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_osmo_hvhh' time='1.370406'/> <testcase classname='BTS_Tests_ASCI' name='TC_vbs_notification' time='4.639527'/> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_uplink_free_and_busy' time='1.703437'/> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_talker_fail' time='16.472401'/> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_talker_est_rel' time='1.221752'/> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_listener_det' time='3.292493'/> </testsuite> <?xml version="1.0"?> <testsuite name='Titan' tests='242' failures='36' errors='0' skipped='0' inconc='0' time='3893.00'> <testcase classname='BTS_Tests:hopping' name='TC_est_dchan' time='14.858668'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_stress' time='2.752340'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_react' time='1.066144'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_deact_not_active' time='1.179451'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_wrong_nr' time='1.523310'/> <testcase classname='BTS_Tests:hopping' name='TC_deact_sacch' time='90.579999'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_filling' time='14.283881'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_info_mod' time='29.662687'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_multi' time='85.649405'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_multi_chg' time='94.091010'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_chan_act' time='29.377969'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_chan_act_ho_async' time='29.810002'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_chan_act_ho_sync' time='47.588327'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_content' time='9.338277'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_content_emerg' time='9.771741'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_count' time='13.860187'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_max_ta' time='10.661958'/> <testcase classname='BTS_Tests:hopping' name='TC_ho_rach' time='4.259606'/> <testcase classname='BTS_Tests:hopping' name='TC_ho_physical_info' time='3.236541'> <failure type='fail-verdict'>Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } BTS_Tests.ttcn:9271 BTS_Tests control part BTS_Tests.ttcn:2133 TC_ho_physical_info testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rach_load_idle_thresh0' time='11.695490'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_load_idle_below_thresh' time='6.144469'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_load_count' time='20.933276'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchf' time='8.964752'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchf_facch' time='9.110115'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh' time='2.127902'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9277 BTS_Tests control part BTS_Tests.ttcn:3659 TC_meas_res_speech_tchh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh_facch' time='2.271761'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9278 BTS_Tests control part BTS_Tests.ttcn:3663 TC_meas_res_speech_tchh_facch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh_toa256' time='2.269131'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9279 BTS_Tests control part BTS_Tests.ttcn:3678 TC_meas_res_speech_tchh_toa256 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_tchf' time='10.283242'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" BTS_Tests.ttcn:9280 BTS_Tests control part BTS_Tests.ttcn:3692 TC_meas_res_sign_tchf testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_tchh' time='2.142904'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9281 BTS_Tests control part BTS_Tests.ttcn:3705 TC_meas_res_sign_tchh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_sdcch4' time='33.457057'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_sdcch8' time='3.278008'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" BTS_Tests.ttcn:9283 BTS_Tests control part BTS_Tests.ttcn:3731 TC_meas_res_sign_sdcch8 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_tchh_toa256' time='2.250680'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9284 BTS_Tests control part BTS_Tests.ttcn:3745 TC_meas_res_sign_tchh_toa256 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchf_sapi3' time='9.244648'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh_sapi3' time='9.111634'/> <testcase classname='BTS_Tests:hopping' name='TC_tx_power_start_ramp_up_bcch' time='7.743077'/> <testcase classname='BTS_Tests:hopping' name='TC_tx_power_down_bcch' time='10.791731'/> <testcase classname='BTS_Tests:hopping' name='TC_tx_power_ramp_adm_state_change' time='21.177510'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9289 BTS_Tests control part BTS_Tests.ttcn:3039 TC_tx_power_ramp_adm_state_change testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rsl_bs_pwr_static_ass' time='2.294958'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_bs_pwr_static_power_control' time='2.505552'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_ctrl' time='62.562000'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_active' time='15.732686'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_active2' time='11.435052'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_up' time='20.651815'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_down' time='11.303538'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_ass_updown' time='7.680315'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_max' time='11.131627'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_chan_initial_ms_pwr' time='2.776337'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_chan_initial_ta' time='1.591840'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_modify_encr' time='14.141564'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_rf_resource_ind' time='14.863856'/> <testcase classname='BTS_Tests:hopping' name='TC_conn_fail_crit' time='25.631859'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_imsi_80percent' time='22.369232'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_tmsi_80percent' time='22.414572'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_imsi_200percent' time='34.396988'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_tmsi_200percent' time='28.237142'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_protocol_error' time='0.808287'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_mand_ie_error' time='1.209799'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ie_content_error' time='1.210275'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_default' time='9.243096'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_1' time='9.134043'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2bis' time='9.135452'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2ter' time='9.127756'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2ter_2bis' time='9.127620'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2quater' time='17.137790'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_13' time='9.081911'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_13_2bis_2ter_2quater' time='17.142149'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_dlcx_not_active' time='1.009615'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_twice_not_active' time='1.219630'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_mdcx_dlcx_not_active' time='1.229864'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' time='1.235549'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_sdcch_not_active' time='1.246583'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_ack_addr' time='1.257380'> <failure type='fail-verdict'>CRCX ACK indicates nonsense addr "0.0.0.0" BTS_Tests.ttcn:9324 BTS_Tests control part BTS_Tests.ttcn:5292 TC_ipa_crcx_ack_addr testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_paging_imsi_200percent_with_ps' time='34.690728'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req' time='3.716577'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req_wrong_ts' time='7.190549'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req_wrong_bts' time='7.164439'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req_wrong_trx' time='7.160788'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_deact_req' time='9.189323'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_deact_req_wrong_ts' time='5.155040'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ver_si1' time='1.181896'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ver_si3' time='1.205787'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ver_si13' time='1.211428'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_pdtch' time='4.290929'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_ptcch' time='4.867909'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_wrong_bts' time='9.212239'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_wrong_trx' time='9.154463'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_wrong_ts' time='9.164930'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_ts_inactive' time='6.153100'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ptcch' time='6.201745'> <failure type='fail-verdict'>Timeout waiting for L1CTL_RACH_CONF BTS_Tests.ttcn:9345 BTS_Tests control part BTS_Tests.ttcn:5742 TC_pcu_ptcch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_agch' time='4.212972'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_pch' time='4.229606'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_imm_ass_pch' time='1.430511'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_imm_ass_agch' time='1.414226'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_rach_content' time='9.925295'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ext_rach_content' time='9.793317'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_ind_lqual_cb' time='5.152400'> <failure type='fail-verdict'>"BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind" BTS_Tests.ttcn:9352 BTS_Tests control part BTS_Tests.ttcn:6019 TC_pcu_data_ind_lqual_cb testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_pcu_paging_from_rsl' time='1.264638'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_time_ind' time='9.017148'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_rts_req' time='9.167109'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_oml_alert' time='4.176174'> <failure type='fail-verdict'>Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration BTS_Tests.ttcn:9356 BTS_Tests control part BTS_Tests.ttcn:6206 TC_pcu_oml_alert testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_pcu_rr_suspend' time='1.606280'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_connect_multi' time='1.201573'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_reconnect' time='3.206307'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_noconnect_nosi3gprs' time='3.969419'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_noconnect_nosi4gprs' time='3.240819'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_connect_si3gprs' time='3.937546'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_connect_si4gprs' time='3.240869'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_disconnect_nosi3gprs' time='4.888127'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_disconnect_nosi4gprs' time='5.123794'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_verify_info_ind' time='3.164611'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_act_deact' time='4.222774'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_double_act' time='1.213678'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_act_deact' time='4.231960'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_act_tchf_act_nack' time='1.209826'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_info_ind_fh_params' time='1.206900'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_nsvc_ipv4' time='1.197551'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_nsvc_ipv6' time='1.179672'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_two_nsvc' time='1.213192'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_interf_ind' time='6.428242'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_unsol_deact' time='1.166104'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_tchf_act' time='1.229022'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_tchh_act' time='1.218741'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_sdcch8_act' time='1.251851'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_tchh_race_act' time='2.831121'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_sdcch8_race_act' time='2.810226'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_tchf_act' time='1.218515'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' time='1.229167'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_est_ind' time='45.677754'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_est_req_DCCH_3' time='1.115556'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_est_req_ACCH_3' time='2.943015'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_DCCH_0' time='2.914805'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_DCCH_3' time='2.931753'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_ACCH_0' time='26.806768'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9394 BTS_Tests control part BTS_Tests.ttcn:7422 TC_rll_rel_ind_ACCH_0 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_ACCH_3' time='26.542074'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9395 BTS_Tests control part BTS_Tests.ttcn:7434 TC_rll_rel_ind_ACCH_3 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_req' time='36.686908'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9396 BTS_Tests control part BTS_Tests.ttcn:7471 TC_rll_rel_req testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_req_DCCH' time='1.975246'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_req_ACCH' time='4.796549'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_ind_DCCH' time='2.901713'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_ind_ACCH' time='10.481178'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a51' time='2.586084'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a52' time='2.689222'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a53' time='2.682711'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a54' time='2.689917'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a51' time='5.207431'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a52' time='5.177003'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a53' time='5.170821'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a54' time='5.167786'/> <testcase classname='BTS_Tests:hopping' name='TC_err_rep_wrong_mdisc' time='1.151324'/> <testcase classname='BTS_Tests:hopping' name='TC_err_rep_wrong_msg_type' time='1.249130'/> <testcase classname='BTS_Tests:hopping' name='TC_err_rep_wrong_sequence' time='1.221217'/> <testcase classname='BTS_Tests:hopping' name='TC_lapdm_selftest' time='0.003751'/> <testcase classname='BTS_Tests:hopping' name='TC_tch_sign_l2_fill_frame' time='18.400768'/> <testcase classname='BTS_Tests:hopping' name='TC_tch_sign_l2_fill_frame_dtxd' time='2.041932'> <failure type='fail-verdict'>Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) BTS_Tests.ttcn:9418 BTS_Tests control part BTS_Tests.ttcn:8056 TC_tch_sign_l2_fill_frame_dtxd testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_chopped_ipa_ping' time='24.682079'/> <testcase classname='BTS_Tests:hopping' name='TC_chopped_ipa_payload' time='42.562113'/> <testcase classname='BTS_Tests:hopping' name='TC_ms_pwr_ctrl_constant' time='16.273417'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9423 BTS_Tests control part BTS_Tests.ttcn:8193 TC_ms_pwr_ctrl_constant testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_ms_pwr_ctrl_pf_ewma' time='31.384006'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9424 BTS_Tests control part BTS_Tests.ttcn:8260 TC_ms_pwr_ctrl_pf_ewma testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_speech_no_rtp_tchf' time='7.243719'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_no_rtp_tchh' time='5.389537'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_rtp_tchf' time='7.485867'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_rtp_tchh' time='5.403986'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_osmux_tchf' time='3.342900'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_osmux_tchh' time='3.376243'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf144' time='1.269621'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests.ttcn:9433 BTS_Tests control part BTS_Tests.ttcn:8699 TC_data_rtp_tchf144 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf96' time='2.043530'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf48' time='2.038192'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchh48' time='2.344676'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf24' time='1.766795'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchh24' time='2.337343'/> <testcase classname='BTS_Tests:hopping' name='TC_early_immediate_assignment' time='3.517766'/> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_rxqual_thresh' time='10.199243'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9442 BTS_Tests control part BTS_Tests.ttcn:9083 TC_acch_overpower_rxqual_thresh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_rxqual_thresh_dtx' time='10.180087'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9443 BTS_Tests control part BTS_Tests.ttcn:9124 TC_acch_overpower_rxqual_thresh_dtx testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_always_on_facch' time='10.151459'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9444 BTS_Tests control part BTS_Tests.ttcn:9156 TC_acch_overpower_always_on_facch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_always_on_sacch' time='10.171622'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9445 BTS_Tests control part BTS_Tests.ttcn:9188 TC_acch_overpower_always_on_sacch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_limit' time='10.142005'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9446 BTS_Tests control part BTS_Tests.ttcn:9219 TC_acch_overpower_limit testcase </failure> </testcase> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbch_load_idle_no_cbch' time='11.134809'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_1block' time='14.201702'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_2block' time='14.152668'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_3block' time='14.163566'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_4block' time='14.153919'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_multi' time='20.165819'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_schedule' time='14.076876'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_default_only' time='16.175869'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_default_and_normal' time='17.143654'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_default_then_null' time='26.130427'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch4_load_idle' time='15.959341'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch4_load_overload' time='18.161325'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_1block' time='14.175461'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_2block' time='14.191643'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_3block' time='14.189785'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_4block' time='14.186372'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_multi' time='20.182658'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_schedule' time='14.101748'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_default_only' time='16.185267'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_default_and_normal' time='17.140251'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch8_load_idle' time='16.108549'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch8_load_overload' time='18.164840'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_etws_p1ro' time='12.133853'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_etws_p1ro_end' time='16.087178'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_etws_pcu' time='1.023612'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi0' time='2.864794'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi0_nopayload' time='21.421252'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi3' time='21.179840'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi4' time='21.180271'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_contention' time='21.215506'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_retransmit' time='3.077041'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_retransmit_bts' time='81.466247'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_invalid_resp' time='23.014284'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_dm' time='13.887884'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_establish_ign_first_sabm' time='8.099704'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_iframe_seq_and_ack' time='29.522324'> <failure type='fail-verdict'>Received RSL ERROR IND &lt;unbound> BTS_Tests_LAPDm.ttcn:1484 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:579 TC_iframe_seq_and_ack testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_iframe_timer_recovery' time='24.464699'> <failure type='fail-verdict'>Received RSL ERROR IND &lt;unbound> BTS_Tests_LAPDm.ttcn:1485 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:685 TC_iframe_timer_recovery testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_ns_seq_error' time='29.491020'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1486 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:768 TC_ns_seq_error testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_nr_seq_error' time='2.708374'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_rec_invalid_frame' time='118.758338'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP" BTS_Tests_LAPDm.ttcn:1488 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:961 TC_rec_invalid_frame testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_segm_concat_dcch' time='13.105507'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1489 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1088 TC_segm_concat_dcch testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_segm_concat_sacch' time='48.317577'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1490 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1093 TC_segm_concat_sacch testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_t200_n200' time='39.530214'> <failure type='fail-verdict'>Missing RSL RLL ERROR INDICATION BTS_Tests_LAPDm.ttcn:1491 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1182 TC_t200_n200 testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_rr_response_frame_loss' time='6.529596'> <failure type='fail-verdict'>Timeout waiting for RR or REJ BTS_Tests_LAPDm.ttcn:1492 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1239 TC_rr_response_frame_loss testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_incorrect_cr' time='15.708757'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_incorrect_c' time='23.203820'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1494 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1341 TC_sabm_incorrect_c testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_normal_reestablishment' time='15.983742'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := 'CB5F2FE392'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1495 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1403 TC_normal_reestablishment testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_normal_reestablishment_state_unacked' time='12.288666'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1496 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1470 TC_normal_reestablishment_state_unacked testcase </failure> </testcase> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_vff' time='2.370982'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_vhh' time='2.566677'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_hvhh' time='2.539772'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_ipa_vff' time='2.512670'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_osmo_vff' time='2.492522'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_osmo_vhh' time='2.565032'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_osmo_hvhh' time='2.569855'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_vff' time='1.299402'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_vhh' time='1.378078'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_hvhh' time='1.362875'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_ipa_vff' time='1.329162'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_osmo_vff' time='1.327437'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_osmo_vhh' time='1.395720'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_osmo_hvhh' time='1.348142'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vbs_notification' time='4.653730'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_uplink_free_and_busy' time='1.710976'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_talker_fail' time='16.469645'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_talker_est_rel' time='1.307140'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_listener_det' time='3.302007'/> </testsuite> <?xml version="1.0"?> <testsuite name='Titan' tests='17' failures='0' errors='0' skipped='0' inconc='0' time='94.00'> <testcase classname='BTS_Tests_OML' name='TC_wrong_mdisc' time='9.488341'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_msgtype' time='0.691097'/> <testcase classname='BTS_Tests_OML' name='TC_short_length' time='0.665843'/> <testcase classname='BTS_Tests_OML' name='TC_long_length' time='0.694709'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_placement' time='0.701605'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_seq' time='0.676409'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_obj_class' time='0.692606'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_bts_nr' time='0.688666'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_trx_nr' time='0.700663'/> <testcase classname='BTS_Tests_OML' name='TC_radio_carrier_opstart_noattr' time='0.698232'/> <testcase classname='BTS_Tests_OML' name='TC_bts_opstart' time='0.695599'/> <testcase classname='BTS_Tests_OML' name='TC_bts_opstart_noattr' time='0.687274'/> <testcase classname='BTS_Tests_OML' name='TC_ts_opstart' time='0.706945'/> <testcase classname='BTS_Tests_OML' name='TC_ts_opstart_noattr' time='0.686853'/> <testcase classname='BTS_Tests_OML' name='TC_initial_state_reports' time='0.669021'/> <testcase classname='BTS_Tests_OML' name='TC_ipa_osmo_pcu_anr_fwd' time='0.704496'/> <testcase classname='BTS_Tests_OML' name='TC_ipa_rsl_connect_nack' time='0.703727'/> </testsuite> Recording test results [Checks API] No suitable checks publisher found. Build step 'Publish JUnit test result report' changed build result to UNSTABLE Archiving artifacts Finished: UNSTABLE